WO2011018900A1 - エッチング方法 - Google Patents

エッチング方法 Download PDF

Info

Publication number
WO2011018900A1
WO2011018900A1 PCT/JP2010/005053 JP2010005053W WO2011018900A1 WO 2011018900 A1 WO2011018900 A1 WO 2011018900A1 JP 2010005053 W JP2010005053 W JP 2010005053W WO 2011018900 A1 WO2011018900 A1 WO 2011018900A1
Authority
WO
WIPO (PCT)
Prior art keywords
etching
containing gas
protective film
fluorine
hydrogen halide
Prior art date
Application number
PCT/JP2010/005053
Other languages
English (en)
French (fr)
Inventor
森川 泰宏
弘綱 鄒
Original Assignee
株式会社アルバック
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社アルバック filed Critical 株式会社アルバック
Priority to US13/386,213 priority Critical patent/US8993449B2/en
Priority to JP2011526691A priority patent/JPWO2011018900A1/ja
Priority to EP10808085.4A priority patent/EP2466627A4/en
Priority to CN201080035963.5A priority patent/CN102473633B/zh
Priority to KR1020127004484A priority patent/KR101330650B1/ko
Publication of WO2011018900A1 publication Critical patent/WO2011018900A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • the present invention relates to an etching method, and more particularly, to an etching method of an object to be processed made of silicon.
  • trenches trenches and via holes (holes) formed in a silicon substrate for the purpose of element isolation and memory cell capacity area have a high aspect ratio (depth of trench (or via hole) / trench (or via hole). ))) Is required.
  • the side wall is prevented from being etched by forming a protective film.
  • the trench and the via hole are formed while repeating the etching process and the protective film forming process, there is a problem in that the side walls are uneven.
  • Etching of the silicon substrate is performed on a portion exposed from the mask after a mask having a predetermined shape is formed on the silicon substrate.
  • fluorine radicals are likely to circulate in the portion directly under the mask, and the protective film is easily damaged.
  • the side wall is also etched, and there is a problem that a trench or a via hole having a desired shape cannot be obtained.
  • an object of the present invention is to provide an etching method capable of forming a trench or a via hole having a desired aspect ratio and shape with respect to an object to be processed made of silicon.
  • a method for generating a plasma in a processing chamber and etching an object to be processed made of silicon on a substrate electrode installed in the processing chamber A hydrogen halide-containing gas using etching step for introducing the containing gas to etch the object to be processed, a fluorine-containing gas using etching step for introducing the fluorine-containing gas into the processing chamber and etching the object to be processed, A protective film forming step of forming a protective film on the object to be processed by sputtering a solid material placed opposite to the object to be processed; and applying a high-frequency bias power to the substrate electrode, A protective film removing step for removing a part of the film, and the etching process using fluorine-containing gas, the protective film forming step, and the protective film removing step are repeated in this order.
  • the fluorine-containing gas using etching process, the protective film forming process, and the protective film removing process need only be repeated in this order, and either the fluorine-containing gas using etching process or the protective film forming process is the first. Also good.
  • the etching process using the hydrogen halide-containing gas is performed first. That is, a mask having a predetermined shape is formed on the surface of the object to be processed, and it is preferable to etch silicon immediately below the mask using a hydrogen halide-containing gas.
  • the fluorine-containing gas use etching step, the protective film formation step, and the protective film removal step can be repeated in this order after the hydrogen halide-containing gas use etching step.
  • the 1st aspect of this invention repeats the said fluorine-containing gas use etching process, the said protective film formation process, and the said protective film removal process in this order, and then performs the said hydrogen halide containing gas use etching process. You can also.
  • the hydrogen halide-containing gas using etching step, the fluorine-containing gas using etching step, the protective film forming step, and the protective film removing step are repeated in this order. It can also be done alternately.
  • a method for generating a plasma in a processing chamber and etching an object to be processed made of silicon on a substrate electrode installed in the processing chamber Etching process using hydrogen halide-containing gas for introducing the contained gas to etch the object to be processed, and sputtering a solid material placed opposite to the object to be processed, and a protective film on the object to be processed Forming a protective film, and introducing fluorine-containing gas into the processing chamber and applying a high frequency bias power to the substrate electrode to remove the protective film and etching the object to be processed
  • An etching process using a contained gas, and the protective film forming process and the etching process using a fluorine-containing gas are repeated in this order.
  • the hydrogen halide-containing gas-using etching step it is preferable to perform the hydrogen halide-containing gas-using etching step first. That is, a mask having a predetermined shape is formed on the surface of the object to be processed, and it is preferable to etch silicon immediately below the mask using a hydrogen halide-containing gas.
  • the protective film forming step and the fluorine-containing gas use etching step can be repeated in this order after the hydrogen halide-containing gas use etching step.
  • the protective film forming step and the fluorine-containing gas-use etching step are repeated in this order, and then the hydrogen halide-containing gas-use etching step can be performed.
  • the hydrogen halide-containing gas using etching step, and the protective film forming step and the fluorine-containing gas using etching step may be alternately performed in this order.
  • the hydrogen halide-containing gas preferably contains fluorine.
  • the hydrogen halide may be at least one selected from the group consisting of hydrogen iodide, hydrogen chloride, and hydrogen bromide.
  • the fluorine-containing gas is sulfur hexafluoride gas, nitrogen trifluoride gas, fluorine gas, silicon tetrafluoride gas, xenon difluoride gas, iodine fluoride. It may contain at least one selected from the group consisting of a gas and an iodinated fluorocarbon gas.
  • the solid material can be configured using a material selected from the group consisting of fluororesin, silicon, carbon, silicon carbide, silicon oxide and silicon nitride. .
  • the process includes an etching process using a hydrogen halide-containing gas, an etching process using a fluorine-containing gas, a protective film forming process, and a protective film removing process, and a fluorine-containing gas using etching process. Since the protective film forming step and the protective film removing step are repeated in this order, trenches and via holes having a desired aspect ratio and shape can be formed on the target object made of silicon.
  • the method includes an etching process using a hydrogen halide-containing gas, a protective film forming process, and an etching process using a fluorine-containing gas, and includes the protective film forming process and the fluorine-containing gas using etching process. Since the steps are repeated in this order, trenches and via holes having a desired aspect ratio and shape can be formed on the object to be processed made of silicon. Moreover, in the etching process using fluorine-containing gas, the object to be processed is etched while removing a part of the protective film, so that the total number of processes can be reduced.
  • (A)-(e) is a typical fragmentary sectional view of a silicon substrate.
  • FIG. 1 shows an NLD (Magnetic Neutral Loop Discharge) type etching apparatus used in this embodiment. Since the NLD method can control the diameter and size of the plasma, it has an advantage that etching and sputtering can be performed with higher precision than the normal method, and is preferably used in the present invention. However, the present invention is not limited to the NLD method, and any other etching device may be used as long as it can generate plasma.
  • NLD Magnetic Neutral Loop Discharge
  • a vacuum chamber 1 as a processing chamber includes an upper plasma generation unit 2 and a lower substrate processing unit 3.
  • the substrate processing unit 3 is provided with an exhaust port 4, and the exhaust port 4 is connected to an appropriate exhaust system (not shown).
  • three magnetic field coils 5, 6, and 7 are provided outside the plasma generator 2.
  • a magnetic neutral line is formed inside the vacuum chamber 1 by these magnetic field coils.
  • the substrate processing unit 3 is provided with a substrate electrode 8, and a silicon substrate 9 as an object to be processed is placed on the substrate electrode 8.
  • the substrate electrode 8 is connected via a blocking capacitor 10 to a high frequency bias power source 11 that applies an RF bias.
  • three high-frequency antenna coils 12 for generating plasma are arranged. These high-frequency antenna coils 12 are connected to a high-frequency power source 13 so that an AC electric field can be applied along the magnetic neutral line formed in the plasma generator 2 by the three magnetic field coils 5, 6, and 7. ing. Thereby, discharge plasma can be generated in the magnetic neutral wire.
  • the top plate in the plasma generation unit 2 is maintained in a floating state in terms of potential and acts as a floating electrode 14.
  • a solid material 15 is installed on the floating electrode 14.
  • the solid material 15 is configured using, for example, a fluororesin, silicon, carbon, silicon carbide, silicon oxide, or silicon nitride.
  • the power supply path from the high frequency power supply 13 to the high frequency antenna coil 12 is branched in the middle, and is directed from the variable capacitor 16 to the floating electrode 14.
  • high frequency power is intermittently applied from the high frequency power supply 13 to the floating electrode 14, and a self bias is generated in the floating electrode 14.
  • a switch may be used instead of the variable capacitor 16.
  • a high frequency power source for the floating electrode 14 and a high frequency power source for the high frequency antenna coil 12 may be provided separately.
  • the vacuum chamber 1 is connected to a supply path 17 for supplying a hydrogen halide-containing gas and a supply path 18 for supplying a fluorine-containing gas.
  • a control device 19 is provided in the middle of the supply path 17 to control the supply and stop of the hydrogen halide-containing gas and the flow rate during the supply.
  • a control device 20 is also provided in the middle of the supply path 18 to control the supply and stop of the fluorine-containing gas and the flow rate during the supply.
  • a supply path 21 for supplying a rare gas is connected downstream of the control device 20, and a fluorine-containing gas and a rare gas are mixed in the gas mixing unit 22. Thereby, these mixed gases can be supplied to the vacuum chamber 1. Further, if the supply of the fluorine-containing gas is stopped by the control device 20, only the rare gas can be supplied to the vacuum chamber 1.
  • a fluorine-containing gas supply path and a rare gas supply path may be provided separately.
  • the hydrogen halide for example, hydrogen iodide, hydrogen chloride, or hydrogen bromide can be used.
  • the hydrogen halide-containing gas can contain a gas other than hydrogen halide, and particularly preferably contains fluorine or oxygen. By including fluorine, the etching rate is increased and the throughput is improved. In addition, when oxygen is contained, a product formed by the reaction between hydrogen halide and silicon is decomposed, and etching proceeds smoothly.
  • the fluorine-containing gas is sulfur hexafluoride (SF 6 ) gas, nitrogen trifluoride (NF 3 ) gas, fluorine (F 2 ) gas, silicon tetrafluoride (SiF 4 ) gas, xenon difluoride (XeF 2 ) Gas, iodine fluoride (IF 5 or IF 7 ) gas, or iodinated fluorocarbon gas can be used, but sulfur hexafluoride is preferably used.
  • the rare gas argon (Ar), xenon (Xe), krypton (Kr), nitrogen (N 2 ), or the like can be used.
  • a hydrogen halide-containing gas is introduced into the vacuum chamber 1 to etch the silicon substrate 9.
  • a mask (not shown) having a predetermined pattern is provided on the surface of the silicon substrate 9.
  • the mask may be an inorganic material such as SiO 2, or may be a photosensitive resist made of acrylic resin or silicone resin, or a thermosetting resist made of epoxy resin. The mask is removed by ashing or the like after the formation of the trench and via hole is completed.
  • control device 19 is operated to introduce a hydrogen halide-containing gas into the vacuum chamber 1.
  • the variable capacitor 16 is turned off so that the high frequency power is not supplied to the floating electrode 14.
  • the high frequency bias power supply 11 is turned on so that high frequency power is supplied to the substrate electrode 8.
  • power for generating plasma is supplied from the high frequency power supply 13 to the high frequency antenna coil 12. Thereby, the silicon substrate 9 is etched.
  • a mixed gas of HBr, SF 6 and O 2 is used as the hydrogen halide-containing gas
  • He gas is used as the rare gas
  • the pressure in the vacuum chamber is 2 Pa
  • the output of the high-frequency power source is 2000 W
  • the high-frequency bias power source When etching was performed with an output of 100 W and a high-frequency bias power supply frequency of 12.56 MHz, the etching rate was 5.75 ⁇ m / min.
  • the flow rates of the etching gas were 75 sccm for HBr gas, 50 sccm for SF 6 gas, and 100 sccm for O 2 gas.
  • the pressure of He gas was 1330 Pa
  • the temperature was ⁇ 20 ° C.
  • the etching time was 120 seconds. As a result, an opening having a smooth side wall was formed.
  • An anisotropic shape can be obtained by etching using hydrogen halide. That is, etching can be performed while suppressing the inner wall of the trench or via hole from becoming a bowing shape. However, this etching does not increase the selection ratio with the mask, and therefore the mask may disappear as the etching progresses. Therefore, when etching is performed to a predetermined depth, the etching gas is switched to a fluorine-containing gas.
  • the supply of the hydrogen halide-containing gas is stopped by the control device 19 and the control device 20 is operated.
  • the hydrogen halide-containing gas is mixed with the rare gas, and these mixed gases are introduced into the vacuum chamber 1.
  • the variable capacitor 16 and the high frequency bias power supply 11 are turned off so that the high frequency power is not supplied to the floating electrode 14 and the substrate electrode 8.
  • power for generating plasma is supplied from the high frequency power supply 13 to the high frequency antenna coil 12 to etch the silicon substrate 9.
  • the etching proceeds by the reaction between fluorine radicals and silicon.
  • this etching can provide a large selection ratio with the mask, it is isotropic, so an anisotropic shape cannot be obtained. Therefore, a bowing shape in which the inner walls of the trench and the via hole spread like a bow is generated.
  • a protective film is formed on the side wall to protect the side wall from etching.
  • the control device 20 when the etching using the fluorine-containing gas is performed for a predetermined time, the supply of the fluorine-containing gas is stopped by the control device 20 so that only the rare gas is supplied into the vacuum chamber 1.
  • the variable capacitor 16 is turned on and the output of the high frequency power supply 13 is increased.
  • the high frequency power is applied to the floating electrode 14 without supplying the high frequency power to the substrate electrode 8.
  • the solid material 15 placed on the floating electrode 14 is sputtered to form a protective film (not shown) on the silicon substrate 9.
  • a fluororesin is used as the solid material 15, a fluororesin film is formed on the silicon substrate 9.
  • variable capacitor 16 is turned OFF, and the application of the high frequency power to the floating electrode 14 is stopped.
  • high frequency bias power supply 11 is turned on to apply high frequency power to the substrate electrode 8.
  • the protective film formed on the surface of the silicon substrate 9 and the surface substantially parallel to the surface of the silicon substrate 9 is removed, leaving the sidewalls of the trenches or via holes.
  • the control device 20 may be operated to introduce the fluorine-containing gas, but only the rare gas may be introduced without introducing the fluorine-containing gas.
  • FIG. 2 is a sequence of a fluorine-containing gas-using etching process, a protective film forming process, and a protective film removing process.
  • each process mentioned above is made into 1 cycle, and the timing of 3 cycles is shown.
  • signal A is a trigger signal
  • signal B is a signal indicating the control timing of the fluorine-containing gas control device 20
  • signal C is a signal indicating the control timing of the variable capacitor 16
  • signal D is a high-frequency power source.
  • 13 indicates a signal indicating the control timing
  • signal E indicates a signal indicating the control timing of the high-frequency bias power supply 11.
  • the second cycle is performed, and the third cycle is performed. That is, after the first cycle etching ⁇ protection film formation ⁇ protection film removal, the second cycle is entered, and etching using a fluorine-containing gas is performed.
  • the silicon substrate can be further etched in the depth direction while preventing the etching to the side wall by the protective film.
  • a protective film is formed again on the side wall.
  • etching ⁇ protection film formation ⁇ protection film removal is performed in the same manner.
  • 3 (a) to 3 (e) are schematic partial cross-sectional views of a silicon substrate, showing each step of the etching method according to the present invention.
  • the silicon substrate 102 exposed from the mask 101 is etched to a predetermined depth by etching using hydrogen halide.
  • the etching gas is replaced with a fluorine-containing gas, and the silicon substrate 102 is further etched. Thereby, the shape shown in FIG. 3B is obtained.
  • a protective film 103 is formed on the silicon substrate 102 as shown in FIG.
  • the protective film 103 is formed so as to cover the mask 101 and the inside of the opening 104.
  • the protective film 103 formed on the surface of the silicon substrate 102 and a surface substantially parallel to the surface of the silicon substrate 102 is removed leaving the side wall 104a of the opening 104.
  • etching using a fluorine-containing gas is performed again, and the silicon substrate 102 is etched further deeply (FIG. 3E).
  • the etching process using the hydrogen halide-containing gas after performing the etching process using the hydrogen halide-containing gas, the etching process using the fluorine-containing gas, the protective film forming process, and the protective film removing process are repeated. Thereby, the side walls of the trench and the via hole can be smoothed, and the silicon substrate can be etched in the depth direction. This is considered to be due to the following reason.
  • etching by repeating etching using a fluorine-containing gas and forming a protective film on the side wall and proceeding in the depth direction, a high aspect ratio trench or the like can be maintained while maintaining a good shape.
  • a via hole can be formed.
  • the attack by fluorine radicals to the portion directly under the mask decreases, so that the side wall formed in a smooth shape has irregularities in the etching process using a fluorine-containing gas. There is little risk of becoming a condition.
  • etching using a hydrogen halide-containing gas after etching using a hydrogen halide-containing gas, etching using a fluorine-containing gas, formation of a protective film, and removal of the protective film were repeated.
  • the present invention is not limited to this.
  • etching using a fluorine-containing gas, formation of a protective film, and removal of the protective film may be repeated, and then etching using a hydrogen halide-containing gas may be performed.
  • etching using a hydrogen halide-containing gas etching using a fluorine-containing gas, formation of a protective film, and removal of the protective film are repeated, and the hydrogen halide-containing gas is used again.
  • the step of repeatedly performing the etching using the fluorine-containing gas, the formation of the protective film, and the removal of the protective film may be repeated.
  • etching using a fluorine-containing gas is performed again, and etching using a fluorine-containing gas is performed again.
  • the process of repeatedly forming the protective film and removing the protective film and then performing the etching using the hydrogen halide-containing gas may be repeated. In any of the above cases, the effects of the present invention can be obtained.
  • the high frequency bias power supply 11 is turned off so that the high frequency power is not supplied to the substrate electrode 8 in FIG. 1 during the etching using the fluorine-containing gas.
  • etching using a fluorine-containing gas may be performed while applying high-frequency bias power. According to this method, the etching of the silicon substrate and the removal of the protective film can be performed simultaneously. Therefore, in addition to the effect that the silicon substrate can be etched in the depth direction while smoothing the sidewalls of the trench and via hole, the effect that the total number of steps can be reduced is also obtained.
  • a hydrogen halide-containing gas is introduced into the vacuum chamber to etch the silicon substrate, and a solid material placed opposite the silicon substrate is sputtered to form a protective film on the silicon substrate. Then, there is a process of repeatedly introducing a fluorine-containing gas into the vacuum chamber and applying a high frequency bias power to the substrate electrode to etch the silicon substrate while removing a part of the protective film.
  • the protective film forming step and the fluorine-containing gas use etching step can be repeated in this order after the hydrogen halide-containing gas use etching step.
  • the hydrogen halide-containing gas using etching step can be performed. Furthermore, the hydrogen halide-containing gas using etching step, the protective film forming step, and the fluorine-containing gas using etching step can be alternately performed in this order.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

 シリコンからなる被処理体に対して、所望とするアスペクト比および形状のトレンチやビアホールを形成することのできるエッチング方法を提供する。 真空チャンバ1内にハロゲン化水素含有ガスを導入してシリコン基板9をエッチングするハロゲン化水素含有ガス使用エッチング工程と、真空チャンバ1内にフッ素含有ガスを導入してシリコン基板9をエッチングするフッ素含有ガス使用エッチング工程と、固体材料15をスパッタして、シリコン基板9の上に保護膜を形成する保護膜形成工程と、基板電極8に高周波バイアス電力を印加して、保護膜の一部を除去する保護膜除去工程とを有し、フッ素含有ガス使用エッチング工程、保護膜形成工程および保護膜除去工程をこの順に繰り返して行う。

Description

エッチング方法
 本発明は、エッチング方法に関し、より詳しくは、シリコンからなる被処理体のエッチング方法に関する。
 近年、半導体装置の集積度の増加に伴って、個々の素子の寸法は微小化が進んでいる。このため、素子分離やメモリセル容量面積の確保を目的としてシリコン基板に形成されるトレンチ(溝)やビアホール(孔)には、高いアスペクト比(トレンチ(またはビアホール)の深さ/トレンチ(またはビアホール)の径)が要求される。
 トレンチやビアホールをシリコン基板に形成する方法としては、従来より、フッ素含有ガスをプラズマ化して生じたフッ素ラジカルによって、シリコン基板をエッチングする方法がある。この場合、フッ素ラジカルとシリコンの反応は自発的に進むので、室温でのエッチングは等方的となる。そこで、シリコン基板をエッチングしてトレンチやビアホールの一部を形成した後に、これらの側壁に保護膜を形成し、さらにエッチングを行う方法が採られている(例えば、特許文献1参照。)。
国際公開第2006/003962号パンフレット
 上記従来の方法では、保護膜を形成することによって、側壁がエッチングされるのを抑制している。しかしながら、エッチング工程と保護膜形成工程を繰り返しながらトレンチやビアホールを形成して行くために、これらの側壁に凹凸が生じるといった問題があった。また、シリコン基板のエッチングは、所定形状のマスクをシリコン基板の上に形成した後、マスクから露出している部分に対して行われる。ここで、マスク直下の部分は、フッ素ラジカルが回り込みやすく、保護膜がダメージを受けやすい。このため、深さ方向へのエッチングが進行するにしたがって側壁もエッチングされてしまい、所望の形状のトレンチやビアホールが得られなくなるという問題もあった。
 上記のような問題は、アスペクト比の高いトレンチやビアホールを形成しようとする場合に顕著となる。そこで、本発明は、シリコンからなる被処理体に対して、所望とするアスペクト比および形状のトレンチやビアホールを形成することのできるエッチング方法を提供することを目的とする。
 本発明の他の目的および利点は、以下の記載から明らかとなるであろう。
 本発明の第1の態様は、処理室内でプラズマを発生させて、該処理室内に設置された基板電極上のシリコンからなる被処理体をエッチングする方法であって、前記処理室内にハロゲン化水素含有ガスを導入して前記被処理体をエッチングするハロゲン化水素含有ガス使用エッチング工程と、前記処理室内にフッ素含有ガスを導入して前記被処理体をエッチングするフッ素含有ガス使用エッチング工程と、前記被処理体に対向して設置された固体材料をスパッタして、前記被処理体の上に保護膜を形成する保護膜形成工程と、前記基板電極に高周波バイアス電力を印加して、前記保護膜の一部を除去する保護膜除去工程とを有し、フッ素含有ガス使用エッチング工程、保護膜形成工程および保護膜除去工程をこの順に繰り返して行うことを特徴とする。
 上記の繰り返し工程では、フッ素含有ガス使用エッチング工程、保護膜形成工程および保護膜除去工程がこの順に繰り返されていればよく、フッ素含有ガス使用エッチング工程と保護膜形成工程のいずれが最初であってもよい。
 本発明の第1の態様は、前記ハロゲン化水素含有ガス使用エッチング工程を最初に行うことが好ましい。すなわち、被処理体の表面には所定形状のマスクが形成されており、このマスク直下のシリコンをハロゲン化水素含有ガスを用いてエッチングすることが好ましい。
 本発明の第1の態様は、前記ハロゲン化水素含有ガス使用エッチング工程の後に、前記フッ素含有ガス使用エッチング工程、前記保護膜形成工程および前記保護膜除去工程をこの順に繰り返して行うことができる。
 また、本発明の第1の態様は、前記フッ素含有ガス使用エッチング工程、前記保護膜形成工程および前記保護膜除去工程をこの順に繰り返して行ってから、前記ハロゲン化水素含有ガス使用エッチング工程を行うこともできる。
 さらに、本発明の第1の態様は、前記ハロゲン化水素含有ガス使用エッチング工程と、前記フッ素含有ガス使用エッチング工程、前記保護膜形成工程および前記保護膜除去工程をこの順に繰り返して行う工程とを交互に行うこともできる。
 本発明の第2の態様は、処理室内でプラズマを発生させて、該処理室内に設置された基板電極上のシリコンからなる被処理体をエッチングする方法であって、前記処理室内にハロゲン化水素含有ガスを導入して前記被処理体をエッチングするハロゲン化水素含有ガス使用エッチング工程と、前記被処理体に対向して設置された固体材料をスパッタして、前記被処理体の上に保護膜を形成する保護膜形成工程と、前記処理室内にフッ素含有ガスを導入するとともに前記基板電極に高周波バイアス電力を印加して、前記保護膜の一部を除去しつつ前記被処理体をエッチングするフッ素含有ガス使用エッチング工程とを有し、前記保護膜形成工程と前記フッ素含有ガス使用エッチング工程をこの順に繰り返して行うことを特徴とする。
 本発明の第2の態様は、前記ハロゲン化水素含有ガス使用エッチング工程を最初に行うことが好ましい。すなわち、被処理体の表面には所定形状のマスクが形成されており、このマスク直下のシリコンをハロゲン化水素含有ガスを用いてエッチングすることが好ましい。
 本発明の第2の態様は、前記ハロゲン化水素含有ガス使用エッチング工程の後に、前記保護膜形成工程と前記フッ素含有ガス使用エッチング工程をこの順に繰り返して行うことができる。
 また、本発明の第2の態様は、前記保護膜形成工程と前記フッ素含有ガス使用エッチング工程をこの順に繰り返して行ってから、前記ハロゲン化水素含有ガス使用エッチング工程を行うこともできる。
 さらに、本発明の第2の態様は、前記ハロゲン化水素含有ガス使用エッチング工程と、前記保護膜形成工程と前記フッ素含有ガス使用エッチング工程をこの順に繰り返して行う工程とを交互に行うこともできる。
 本発明の第1の態様および第2の態様において、前記ハロゲン化水素含有ガスはフッ素を含んでいることが好ましい。
 本発明の第1の態様および第2の態様において、前記ハロゲン化水素は、ヨウ化水素、塩化水素および臭化水素よりなる群から選ばれる少なくとも1種とすることができる。
 本発明の第1の態様および第2の態様において、前記フッ素含有ガスは、六フッ化硫黄ガス、三フッ化窒素ガス、フッ素ガス、四フッ化ケイ素ガス、二フッ化キセノンガス、フッ化ヨウ素ガスおよびヨウ化フロロカーボンガスよりなる群から選ばれる少なくとも1種を含んでいるものとすることができる。
 本発明の第1の態様および第2の態様において、前記固体材料は、フッ素樹脂、ケイ素、炭素、炭化ケイ素、酸化ケイ素および窒化ケイ素よりなる群から選ばれる材料を用いて構成されることができる。
 本発明の第1の態様によれば、ハロゲン化水素含有ガス使用エッチング工程と、フッ素含有ガス使用エッチング工程と、保護膜形成工程と、保護膜除去工程とを有し、フッ素含有ガス使用エッチング工程、保護膜形成工程および保護膜除去工程をこの順に繰り返して行うので、シリコンからなる被処理体に対して、所望とするアスペクト比および形状のトレンチやビアホールを形成することが可能となる。
 本発明の第2の態様によれば、ハロゲン化水素含有ガス使用エッチング工程と、保護膜形成工程と、フッ素含有ガス使用エッチング工程とを有し、保護膜形成工程とフッ素含有ガス使用エッチング工程をこの順に繰り返して行うので、シリコンからなる被処理体に対して、所望とするアスペクト比および形状のトレンチやビアホールを形成することが可能となる。また、フッ素含有ガス使用エッチング工程では、保護膜の一部を除去しつつ被処理体をエッチングするので、全体の工程数を減らすことができる。
本実施の形態で用いられるNLD方式のエッチング装置を示す図である。 本実施の形態におけるフッ素含有ガス使用エッチング工程、保護膜形成工程および保護膜除去工程のシーケンスである。 (a)~(e)は、シリコン基板の模式的な部分断面図である。
 図1は、本実施の形態で用いられるNLD(Magnetic Neutral Loop Discharge;磁気中性線放電)方式のエッチング装置を示す。NLD方式は、プラズマの径や大きさを制御できるため、通常の方式に比べて精度の高いエッチングやスパッタができるという利点があり、本発明において好ましく用いられる。但し、本発明は、NLD方式に限られるものではなく、プラズマを発生できるものであれば、他の方式のエッチング装置であってもよい。
 図1において、処理室としての真空チャンバ1は、上部のプラズマ発生部2と、下部の基板処理部3とを備えている。基板処理部3には、排気口4が設けられており、排気口4は、適当な排気系(図示せず)に接続される。また、プラズマ発生部2の外側には、3つの磁場コイル5、6、7が設けられている。これらの磁場コイルによって、真空チャンバ1の内部に磁気中性線が形成される。
 基板処理部3には、基板電極8が設けられており、被処理体としてのシリコン基板9が基板電極8の上に載置される。また、基板電極8は、ブロッキングコンデンサ10を介して、RFバイアスを印加する高周波バイアス電源11に接続している。
 プラズマ発生部2と3つの磁場コイル5、6、7との間には、プラズマ発生用の3つの高周波アンテナコイル12が配置されている。これらの高周波アンテナコイル12は、高周波電源13に接続されており、3つの磁場コイル5、6、7によってプラズマ発生部2に形成された磁気中性線に沿って交流電場を印加できるようになっている。これにより、磁気中性線に放電プラズマを発生させることができる。
 プラズマ発生部2にある天板は、電位的に浮遊状態に維持されており、浮遊電極14として作用する。浮遊電極14には、固体材料15が設置されている。固体材料15は、例えば、フッ素樹脂、ケイ素、炭素、炭化ケイ素、酸化ケイ素または窒化ケイ素などを用いて構成される。
 高周波電源13から高周波アンテナコイル12へ至る給電路は、途中で分岐していて、可変コンデンサ16から浮遊電極14へと向かっている。これにより、高周波電源13から浮遊電極14に高周波電力が間欠的に印加され、浮遊電極14に自己バイアスが発生する。尚、可変コンデンサ16に代えてスイッチを用いることもできる。また、浮遊電極14用の高周波電源と、高周波アンテナコイル12用の高周波電源とを個別に設けてもよい。
 真空チャンバ1には、ハロゲン化水素含有ガスを供給する供給路17と、フッ素含有ガスを供給する供給路18とが接続している。供給路17の途中には、制御装置19が設けられており、ハロゲン化水素含有ガスの供給およびその停止と供給中の流量とが制御される。また、供給路18の途中にも制御装置20が設けられており、フッ素含有ガスの供給およびその停止と供給中の流量とが制御される。
 供給路18には、希ガスを供給する供給路21が制御装置20の下流に接続していて、ガス混合部22において、フッ素含有ガスと希ガスが混じり合うようになっている。これにより、真空チャンバ1へは、これらの混合ガスを供給することができる。また、制御装置20によってフッ素含有ガスの供給を停止すれば、希ガスのみを真空チャンバ1へ供給することもできる。尚、本実施の形態においては、フッ素含有ガスの供給路と希ガスの供給路とを個別に設けてもよい。
 ハロゲン化水素としては、例えば、ヨウ化水素、塩化水素または臭化水素を用いることができる。ハロゲン化水素含有ガスは、ハロゲン化水素以外のガスを含むことができ、特にフッ素や酸素を含んでいることが好ましい。フッ素を含むことにより、エッチング速度が大きくなってスループットが向上する。また、酸素を含むことにより、ハロゲン化水素とシリコンの反応によって形成された生成物が分解して、エッチングがスムーズに進むようになる。
 フッ素含有ガスは、六フッ化硫黄(SF)ガス、三フッ化窒素(NF)ガス、フッ素(F)ガス、四フッ化ケイ素(SiF)ガス、二フッ化キセノン(XeF)ガス、フッ化ヨウ素(IFまたはIF)ガスまたはヨウ化フロロカーボンガスなどを用いることができるが、六フッ化硫黄が好ましく用いられる。また、希ガスとしては、アルゴン(Ar)、キセノン(Xe)、クリプトン(Kr)または窒素(N)などを用いることができる。
 次に、図1のエッチング装置を用いてシリコン基板9をエッチングする方法について説明する。
 まず、真空チャンバ1の内部にハロゲン化水素含有ガスを導入して、シリコン基板9をエッチングする。ここで、シリコン基板9の表面には、所定のパターンを有するマスク(図示せず)が設けられているものとする。マスクから露出しているシリコン基板9をエッチングすることによって、シリコン基板9の所望の箇所に所望の形状のトレンチやビアホールを形成することができる。尚、マスクは、SiOなどの無機物であってもよいし、アクリル系樹脂またはシリコーン系樹脂などからなる感光性レジストや、エポキシ系樹脂などからなる熱硬化性レジストであってもよい。マスクは、トレンチやビアホールの形成を終えた後で、アッシングなどによって除去される。
 具体的には、制御装置19を作動させて、真空チャンバ1の内部にハロゲン化水素含有ガスを導入する。このとき、浮遊電極14に高周波電力が供給されないように、可変コンデンサ16はOFFの状態とする。一方、基板電極8へは高周波電力が供給されるよう、高周波バイアス電源11をONの状態とする。また、高周波電源13から高周波アンテナコイル12にプラズマ発生用の電力を供給する。これにより、シリコン基板9のエッチングが行われる。
 一例として、ハロゲン化水素含有ガスとしてHBrとSFとOの混合ガスを用い、希ガスとしてHeガスを用いて、真空チャンバ内の圧力を2Pa、高周波電源の出力を2000W、高周波バイアス電源の出力を100W、高周波バイアス電源の周波数を12.56MHzとしてエッチングを行ったところ、エッチング速度は5.75μm/分であった。尚、エッチングガスの各流量は、HBrガスで75sccm、SFガスで50sccm、Oガスで100sccmとした。また、Heガスの圧力を1330Pa、温度を-20℃とし、エッチング時間を120秒間とした。その結果、滑らかな側壁を有する開孔部が形成された。
 ハロゲン化水素を用いたエッチングによれば、異方性形状を得ることができる。すなわち、トレンチやビアホールの内壁がボーイング形状となるのを抑制しつつ、エッチングを進めることができる。しかしながら、このエッチングではマスクとの選択比が大きくならないため、エッチングの進行とともにマスクが消失してしまうおそれがある。そこで、所定の深さまでエッチングをしたところで、エッチングガスをフッ素含有ガスに切り替える。
 具体的には、制御装置19によってハロゲン化水素含有ガスの供給を停止し、制御装置20を作動させる。これにより、ガス混合部22において、希ガスにハロゲン化水素含有ガスが混合し、真空チャンバ1の内部にこれらの混合ガスが導入される。このとき、浮遊電極14と基板電極8に高周波電力が供給されないよう、可変コンデンサ16と高周波バイアス電源11をOFFの状態にする。そして、高周波電源13から高周波アンテナコイル12にプラズマ発生用の電力を供給して、シリコン基板9のエッチングを行う。
 フッ素含有ガスを用いた上記エッチングによれば、フッ素ラジカルとシリコンとの反応によってエッチングが進む。このエッチングはマスクとの選択比を大きくとれるが、等方性となるため、異方性形状が得られない。それ故、トレンチやビアホールの内壁が弓なりに広がったボーイング形状を生じさせる。これを防ぐため、側壁に保護膜を形成して、エッチングから側壁を保護するようにする。
 具体的には、フッ素含有ガスを用いたエッチングを所定時間行ったところで、制御装置20によりフッ素含有ガスの供給を停止し、希ガスのみが真空チャンバ1の内部に供給されるようにする。また、高周波バイアス電源11をOFFにした状態で、可変コンデンサ16をONにするとともに、高周波電源13の出力を上げる。これにより、基板電極8へは高周波電力が供給されずに、浮遊電極14に高周波電力が印加される。そして、浮遊電極14に設置された固体材料15がスパッタされて、シリコン基板9の上に保護膜(図示せず)が形成される。例えば、固体材料15としてフッ素樹脂を用いた場合には、シリコン基板9の上にフッ素樹脂膜が形成される。
 次に、可変コンデンサ16をOFFにして、浮遊電極14への高周波電力の印加を停止する。そして、高周波バイアス電源11をONにして、基板電極8に高周波電力を印加する。これにより、トレンチまたはビアホールの側壁を残して、シリコン基板9の表面とこれに略平行な面に形成された保護膜が除去される。尚、この場合、制御装置20を作動させて、フッ素含有ガスを導入してもよいが、フッ素含有ガスを導入せずに希ガスのみを導入するのでもよい。
 図2は、フッ素含有ガス使用エッチング工程、保護膜形成工程および保護膜除去工程のシーケンスである。この例では、上述した各工程を1サイクルとして、3サイクルのタイミングを示している。尚、図2において、信号Aはトリガー信号を、信号Bはフッ素含有ガスの制御装置20の制御タイミングを示す信号を、信号Cは可変コンデンサ16の制御タイミングを示す信号を、信号Dは高周波電源13の制御タイミングを示す信号を、信号Eは高周波バイアス電源11の制御タイミングを示す信号を、それぞれ示している。
 図2では、1サイクル目を終えた後に、2サイクル目を行い、さらに3サイクル目を行う。すなわち、1サイクル目のエッチング→保護膜形成→保護膜除去を行った後、2サイクル目に入って、フッ素含有ガスを用いたエッチングを行う。これにより、側壁へのエッチングを保護膜によって防ぎながら、シリコン基板をその深さ方向にさらにエッチングすることができる。所定の深さまでエッチングしたところで、再び側壁に保護膜を形成する。その後、3サイクル目に入り、エッチング→保護膜形成→保護膜除去を同様に行う。3サイクル目を終えた後以降も上記工程を繰り返すことにより、側壁を保護しながら、シリコン基板の深さ方向へのエッチングを進めて行くことができる。尚、上記サイクルの繰り返しの回数は、所望とするトレンチやビアホールの形状によって適宜設定される。
 図3(a)~(e)は、シリコン基板の模式的な部分断面図であり、本発明によるエッチング方法の各工程を示している。
 まず、図3(a)に示すように、ハロゲン化水素を用いたエッチングによって、マスク101から露出しているシリコン基板102を所定の深さまでエッチングする。
 次に、エッチングガスをフッ素含有ガスに代えて、さらにシリコン基板102をエッチングする。これにより、図3(b)に示す形状が得られる。
 続いて、フッ素含有ガスの供給を停止して、図3(c)に示すように、シリコン基板102の上に保護膜103を形成する。保護膜103は、マスク101の上や開孔部104の内部を被覆するようにして形成される。
 次に、開孔部104の側壁104aを残して、シリコン基板102の表面とこれに略平行な面に形成された保護膜103を除去する。これにより、図3(d)に示す構造が得られる。この後は、再びフッ素含有ガスを用いたエッチングを行い、さらに深くまでシリコン基板102をエッチングする(図3(e))。
 このように、本実施の形態によれば、ハロゲン化水素含有ガスを用いたエッチング工程を行った後に、フッ素含有ガスを用いたエッチング工程、保護膜形成工程および保護膜除去工程を繰り返して行う。これにより、トレンチやビアホールの側壁を滑らかにできるとともに、シリコン基板をその深さ方向にエッチングして行くことができる。これは、次の理由によると考えられる。
 フッ素ラジカルによる等方性のエッチング工程と側壁への保護膜形成工程のみを繰り返して行いながら、トレンチやビアホールを形成して行く従来の方法では、凹凸のない滑らかな側壁を形成するのは困難である。しかし、本発明のように、ハロゲン化水素を用いた異方性のエッチングによれば、滑らかな側壁を形成することができる。また、マスク直下の部分は、フッ素ラジカルが回り込みやすく、保護膜がダメージを受けやすいが、ハロゲン化水素を用いたエッチングとすることによって、前記部分のフッ素ラジカルによるダメージを少なくすることもできる。そして、このエッチングの後に、フッ素含有ガスを用いたエッチングと側壁への保護膜形成とを繰り返して、深さ方向へのエッチングを進めれば、良好な形状を維持しつつ高アスペクト比のトレンチやビアホールを形成することができる。尚、深さ方向へのエッチングが進むにしたがい、マスク直下の部分へのフッ素ラジカルによるアタックは減少するので、滑らかな形状に形成された側壁がフッ素含有ガスを用いたエッチング工程で凹凸の生じた状態になるおそれは殆どない。
 尚、本発明は上記実施の形態に限定されるものではなく、本発明の趣旨を逸脱しない範囲内で種々変形して実施することができる。
 例えば、上記実施の形態では、ハロゲン化水素含有ガスを用いたエッチングを行ってから、フッ素含有ガスを用いたエッチングと、保護膜の形成と、保護膜の除去とを繰り返して行った。しかし、本発明はこれに限られるものではない。
 本発明では、フッ素含有ガスを用いたエッチングと、保護膜の形成と、保護膜の除去とを繰り返して行ってから、ハロゲン化水素含有ガスを用いたエッチングを行ってもよい。また、ハロゲン化水素含有ガスを用いたエッチングを行ってから、フッ素含有ガスを用いたエッチングと、保護膜の形成と、保護膜の除去とを繰り返して行い、再び、ハロゲン化水素含有ガスを用いたエッチングを行ってから、フッ素含有ガスを用いたエッチングと、保護膜の形成と、保護膜の除去とを繰り返して行うという工程を繰り返してもよい。さらに、フッ素含有ガスを用いたエッチングと、保護膜の形成と、保護膜の除去とを繰り返して行ってから、ハロゲン化水素含有ガスを用いたエッチングを行い、再び、フッ素含有ガスを用いたエッチングと、保護膜の形成と、保護膜の除去とを繰り返して行ってから、ハロゲン化水素含有ガスを用いたエッチングを行うという工程を繰り返してもよい。上記のいずれの場合によっても、本発明の効果が得られる。
 また、上記実施の形態では、フッ素含有ガスを用いたエッチングの際に、図1の基板電極8に高周波電力が供給されないよう、高周波バイアス電源11をOFFの状態にしていた。しかしながら、本発明においては、高周波バイアス電力を印加しながらフッ素含有ガスを用いたエッチングを行ってもよい。この方法によれば、シリコン基板のエッチングと保護膜の除去とを同時に行うことができる。したがって、トレンチやビアホールの側壁を滑らかにしつつ、シリコン基板をその深さ方向にエッチングできるという効果に加えて、全体の工程数を少なくできるという効果も得られる。
 上記方法では、真空チャンバ内にハロゲン化水素含有ガスを導入してシリコン基板をエッチングする工程と、シリコン基板に対向して設置された固体材料をスパッタして、シリコン基板の上に保護膜を形成した後、真空チャンバ内にフッ素含有ガスを導入するとともに基板電極に高周波バイアス電力を印加して、保護膜の一部を除去しつつシリコン基板をエッチングすることを繰り返す工程とがある。この場合、ハロゲン化水素含有ガス使用エッチング工程の後に、保護膜形成工程とフッ素含有ガス使用エッチング工程をこの順に繰り返して行うことができる。また、保護膜形成工程とフッ素含有ガス使用エッチング工程をこの順に繰り返して行ってから、ハロゲン化水素含有ガス使用エッチング工程を行うこともできる。さらに、ハロゲン化水素含有ガス使用エッチング工程と、保護膜形成工程とフッ素含有ガス使用エッチング工程をこの順に繰り返して行う工程とを交互に行うこともできる。
  1 真空チャンバ
  2 プラズマ発生部
  3 基板処理部
  4 排気口
  5,6,7 磁場コイル
  8 基板電極
  9 シリコン基板
  10 ブロッキングコンデンサ
  11 高周波バイアス電源
  12 高周波アンテナコイル
  13 高周波電源
  14 浮遊電極
  15 固体材料
  16 可変コンデンサ
  17,18,21 供給路
  19,20 制御装置
  22 ガス混合部
  101 マスク
  102 シリコン基板
  103 保護膜
  104 開孔部

Claims (14)

  1.  処理室内でプラズマを発生させて、該処理室内に設置された基板電極上のシリコンからなる被処理体をエッチングする方法であって、
     前記処理室内にハロゲン化水素含有ガスを導入して前記被処理体をエッチングするハロゲン化水素含有ガス使用エッチング工程と、
     前記処理室内にフッ素含有ガスを導入して前記被処理体をエッチングするフッ素含有ガス使用エッチング工程と、
     前記被処理体に対向して設置された固体材料をスパッタして、前記被処理体の上に保護膜を形成する保護膜形成工程と、
     前記基板電極に高周波バイアス電力を印加して、前記保護膜の一部を除去する保護膜除去工程とを有し、
     前記フッ素含有ガス使用エッチング工程、前記保護膜形成工程および前記保護膜除去工程をこの順に繰り返して行うことを特徴とするエッチング方法。
  2.  前記ハロゲン化水素含有ガス使用エッチング工程を最初に行うことを特徴とする請求項1に記載のエッチング方法。
  3.  前記ハロゲン化水素含有ガス使用エッチング工程の後に、前記フッ素含有ガス使用エッチング工程、前記保護膜形成工程および前記保護膜除去工程をこの順に繰り返して行うことを特徴とする請求項1に記載のエッチング方法。
  4.  前記フッ素含有ガス使用エッチング工程、前記保護膜形成工程および前記保護膜除去工程をこの順に繰り返して行ってから、前記ハロゲン化水素含有ガス使用エッチング工程を行うことを特徴とする請求項1に記載のエッチング方法。
  5.  前記ハロゲン化水素含有ガス使用エッチング工程と、前記フッ素含有ガス使用エッチング工程、前記保護膜形成工程および前記保護膜除去工程をこの順に繰り返して行う工程とを交互に行うことを特徴とする請求項1に記載のエッチング方法。
  6.  処理室内でプラズマを発生させて、該処理室内に設置された基板電極上のシリコンからなる被処理体をエッチングする方法であって、
     前記処理室内にハロゲン化水素含有ガスを導入して前記被処理体をエッチングするハロゲン化水素含有ガス使用エッチング工程と、
     前記被処理体に対向して設置された固体材料をスパッタして、前記被処理体の上に保護膜を形成する保護膜形成工程と、
     前記処理室内にフッ素含有ガスを導入するとともに前記基板電極に高周波バイアス電力を印加して、前記保護膜の一部を除去しつつ前記被処理体をエッチングするフッ素含有ガス使用エッチング工程とを有し、
     前記保護膜形成工程と前記フッ素含有ガス使用エッチング工程をこの順に繰り返して行うことを特徴とするエッチング方法。
  7.  前記ハロゲン化水素含有ガス使用エッチング工程を最初に行うことを特徴とする請求項6に記載のエッチング方法。
  8.  前記ハロゲン化水素含有ガス使用エッチング工程の後に、前記保護膜形成工程と前記フッ素含有ガス使用エッチング工程をこの順に繰り返して行うことを特徴とする請求項6に記載のエッチング方法。
  9.  前記保護膜形成工程と前記フッ素含有ガス使用エッチング工程をこの順に繰り返して行ってから、前記ハロゲン化水素含有ガス使用エッチング工程を行うことを特徴とする請求項6に記載のエッチング方法。
  10.  前記ハロゲン化水素含有ガス使用エッチング工程と、前記保護膜形成工程と前記フッ素含有ガス使用エッチング工程をこの順に繰り返して行う工程とを交互に行うことを特徴とする請求項6に記載のエッチング方法。
  11.  前記ハロゲン化水素含有ガスはフッ素を含んでいることを特徴とする請求項1~10のいずれか1項に記載のエッチング方法。
  12.  前記ハロゲン化水素は、ヨウ化水素、塩化水素および臭化水素よりなる群から選ばれる少なくとも1種であることを特徴とする請求項1~11のいずれか1項に記載のエッチング方法。
  13.  前記フッ素含有ガスは、六フッ化硫黄ガス、三フッ化窒素ガス、フッ素ガス、四フッ化ケイ素ガス、二フッ化キセノンガス、フッ化ヨウ素ガスおよびヨウ化フロロカーボンガスよりなる群から選ばれる少なくとも1種を含んでいることを特徴とする請求項1~12のいずれか1項に記載のエッチング方法。
  14.  前記固体材料は、フッ素樹脂、ケイ素、炭素、炭化ケイ素、酸化ケイ素および窒化ケイ素よりなる群から選ばれる材料を用いて構成されることを特徴とする請求項1~13のいずれか1項に記載のエッチング方法。
PCT/JP2010/005053 2009-08-14 2010-08-12 エッチング方法 WO2011018900A1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US13/386,213 US8993449B2 (en) 2009-08-14 2010-08-12 Etching method
JP2011526691A JPWO2011018900A1 (ja) 2009-08-14 2010-08-12 エッチング方法
EP10808085.4A EP2466627A4 (en) 2009-08-14 2010-08-12 etching
CN201080035963.5A CN102473633B (zh) 2009-08-14 2010-08-12 蚀刻方法
KR1020127004484A KR101330650B1 (ko) 2009-08-14 2010-08-12 에칭 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009-188148 2009-08-14
JP2009188148 2009-08-14

Publications (1)

Publication Number Publication Date
WO2011018900A1 true WO2011018900A1 (ja) 2011-02-17

Family

ID=43586083

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/005053 WO2011018900A1 (ja) 2009-08-14 2010-08-12 エッチング方法

Country Status (7)

Country Link
US (1) US8993449B2 (ja)
EP (1) EP2466627A4 (ja)
JP (1) JPWO2011018900A1 (ja)
KR (1) KR101330650B1 (ja)
CN (1) CN102473633B (ja)
TW (1) TWI518771B (ja)
WO (1) WO2011018900A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014045160A (ja) * 2012-08-29 2014-03-13 Samco Inc 高アスペクト比の凹凸構造を有するシリコン基板の製造方法
WO2014125893A1 (ja) * 2013-02-14 2014-08-21 セントラル硝子株式会社 クリーニングガス及びクリーニング方法
JP2016004861A (ja) * 2014-06-16 2016-01-12 キヤノン株式会社 貫通基板の形成方法
KR20170018817A (ko) * 2014-06-16 2017-02-20 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 기판 처리 방법
JP2018166223A (ja) * 2014-06-16 2018-10-25 東京エレクトロン株式会社 処理方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8802571B2 (en) * 2011-07-28 2014-08-12 Lam Research Corporation Method of hard mask CD control by Ar sputtering
CN103681301B (zh) * 2012-09-17 2016-08-17 上海华虹宏力半导体制造有限公司 改善沟槽侧壁扇贝形貌的干法刻蚀工艺方法
US9126452B2 (en) * 2013-07-29 2015-09-08 Xerox Corporation Ultra-fine textured digital lithographic imaging plate and method of manufacture
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
US9892969B2 (en) * 2016-05-11 2018-02-13 Semiconductor Components Industries, Llc Process of forming an electronic device
JP6796519B2 (ja) * 2017-03-10 2020-12-09 東京エレクトロン株式会社 エッチング方法
CN107634007B (zh) * 2017-09-13 2019-12-31 京东方科技集团股份有限公司 干刻蚀方法
US10529578B2 (en) 2017-11-12 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor structure
JP6928548B2 (ja) * 2017-12-27 2021-09-01 東京エレクトロン株式会社 エッチング方法
US11002063B2 (en) * 2018-10-26 2021-05-11 Graffiti Shield, Inc. Anti-graffiti laminate with visual indicia
WO2021171458A1 (ja) * 2020-02-27 2021-09-02 株式会社日立ハイテク プラズマ処理方法
CN113948358B (zh) * 2020-07-17 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及半导体结构的形成方法
JP2022191787A (ja) * 2021-06-16 2022-12-28 キオクシア株式会社 半導体装置の製造方法
JP7231683B1 (ja) * 2021-08-30 2023-03-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11214356A (ja) * 1998-01-29 1999-08-06 Sony Corp シリコン基板のドライエッチング方法
JP2002367960A (ja) * 2001-06-05 2002-12-20 Internatl Business Mach Corp <Ibm> 高アスペクト比の開口をエッチングする方法
JP2005123550A (ja) * 2003-10-14 2005-05-12 Nexso Inc 異方性エッチング方法
WO2006003962A1 (ja) * 2004-07-02 2006-01-12 Ulvac, Inc. エッチング方法及び装置
JP2009046735A (ja) * 2007-08-21 2009-03-05 Ulvac Japan Ltd スパッタ装置およびプラズマ処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19713090B4 (de) * 1996-03-28 2004-06-17 Kabushiki Kaisha Toshiba, Kawasaki Verfahren und Apparatur zum Ätzen von Silicium-Materialien
US20080146034A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. Method for recess etching
JP2008263093A (ja) 2007-04-13 2008-10-30 Tokyo Electron Ltd エッチング方法、エッチングシステムおよびエッチング装置
US8521689B2 (en) 2007-04-20 2013-08-27 International Business Machines Corporation Generation of a set of pre-filters from a set of event subscriptions to more efficiently select events of interest
CN101960569B (zh) * 2008-03-07 2012-11-28 株式会社爱发科 等离子处理方法
JP5331443B2 (ja) * 2008-10-29 2013-10-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11214356A (ja) * 1998-01-29 1999-08-06 Sony Corp シリコン基板のドライエッチング方法
JP2002367960A (ja) * 2001-06-05 2002-12-20 Internatl Business Mach Corp <Ibm> 高アスペクト比の開口をエッチングする方法
JP2005123550A (ja) * 2003-10-14 2005-05-12 Nexso Inc 異方性エッチング方法
WO2006003962A1 (ja) * 2004-07-02 2006-01-12 Ulvac, Inc. エッチング方法及び装置
JP2009046735A (ja) * 2007-08-21 2009-03-05 Ulvac Japan Ltd スパッタ装置およびプラズマ処理装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014045160A (ja) * 2012-08-29 2014-03-13 Samco Inc 高アスペクト比の凹凸構造を有するシリコン基板の製造方法
WO2014125893A1 (ja) * 2013-02-14 2014-08-21 セントラル硝子株式会社 クリーニングガス及びクリーニング方法
JP2014154865A (ja) * 2013-02-14 2014-08-25 Central Glass Co Ltd クリーニングガス及びクリーニング方法
JP2016004861A (ja) * 2014-06-16 2016-01-12 キヤノン株式会社 貫通基板の形成方法
KR20170018817A (ko) * 2014-06-16 2017-02-20 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 기판 처리 방법
JP2018166223A (ja) * 2014-06-16 2018-10-25 東京エレクトロン株式会社 処理方法
US10460950B2 (en) 2014-06-16 2019-10-29 Tokyo Electron Limited Substrate processing system and substrate processing method
KR102434563B1 (ko) * 2014-06-16 2022-08-19 도쿄엘렉트론가부시키가이샤 처리 방법

Also Published As

Publication number Publication date
EP2466627A4 (en) 2015-06-24
TWI518771B (zh) 2016-01-21
EP2466627A1 (en) 2012-06-20
CN102473633A (zh) 2012-05-23
JPWO2011018900A1 (ja) 2013-01-17
CN102473633B (zh) 2015-03-04
KR20120037497A (ko) 2012-04-19
TW201120954A (en) 2011-06-16
US8993449B2 (en) 2015-03-31
US20120171869A1 (en) 2012-07-05
KR101330650B1 (ko) 2013-11-19

Similar Documents

Publication Publication Date Title
WO2011018900A1 (ja) エッチング方法
JP4796965B2 (ja) エッチング方法及び装置
KR101700320B1 (ko) 기판 에칭 방법들
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
KR20160075358A (ko) 선택적인 질화물 에칭
JP2006066408A (ja) ドライエッチング方法
TWI490943B (zh) 乾式蝕刻方法
JP2008177209A (ja) プラズマエッチング方法
JP4629421B2 (ja) ドライエッチング方法及びドライエッチング装置
TWI598954B (zh) 具有受控擺動之蝕刻用方法
KR102122203B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP5041696B2 (ja) ドライエッチング方法
JP5065726B2 (ja) ドライエッチング方法
JP4098225B2 (ja) プラズマエッチング方法
JP2006156467A (ja) プラズマエッチング方法
TWI827618B (zh) 電漿蝕刻方法
JP4360065B2 (ja) プラズマ処理方法
JP4316322B2 (ja) 層間絶縁膜のドライエッチング方法
JP7336623B2 (ja) エッチング方法
JP2022094141A (ja) エッチング処理方法及びプラズマ処理装置
KR20000012850A (ko) 반도체소자의 식각방법
JPH06283476A (ja) プラズマエッチング方法
JPH0613348A (ja) 半導体装置の製造方法
JP2004335768A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080035963.5

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10808085

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2011526691

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2010808085

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20127004484

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 13386213

Country of ref document: US