WO2006013720A1 - 薄膜形成方法及び薄膜形成装置 - Google Patents

薄膜形成方法及び薄膜形成装置 Download PDF

Info

Publication number
WO2006013720A1
WO2006013720A1 PCT/JP2005/013258 JP2005013258W WO2006013720A1 WO 2006013720 A1 WO2006013720 A1 WO 2006013720A1 JP 2005013258 W JP2005013258 W JP 2005013258W WO 2006013720 A1 WO2006013720 A1 WO 2006013720A1
Authority
WO
WIPO (PCT)
Prior art keywords
thin film
film forming
valve
valve opening
gas
Prior art date
Application number
PCT/JP2005/013258
Other languages
English (en)
French (fr)
Inventor
Toshiharu Hirata
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US11/573,272 priority Critical patent/US7972649B2/en
Publication of WO2006013720A1 publication Critical patent/WO2006013720A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Definitions

  • Thin film forming method and thin film forming apparatus Thin film forming apparatus
  • the present invention relates to a film forming technique for forming a thin film on a substrate to be processed, and more particularly to a thin film forming method and apparatus using an atomic layer vapor deposition (ALD) method.
  • ALD atomic layer vapor deposition
  • Pressure control in a processing vessel is an extremely important technique in a semiconductor manufacturing apparatus.
  • APC automatic pressure control
  • Patent Document 1 Japanese Patent Document 1
  • this type of APC is provided with a conductance valve such as a butterfly valve in an exhaust passage connecting a processing vessel and a vacuum pump, and variably controls the valve opening of the conductance valve by a pressure feedback method.
  • the valve opening of the conductance valve can be changed to an arbitrary value within a certain range, for example, 0 ° (fully closed) to 90 ° (fully open) by the motor under the control of the controller.
  • the output signal (instantaneous pressure value) of the pressure detector such as a vacuum gauge attached to is fed back to the controller, and the controller conducts the conductance valve through the motor so that the measured pressure value matches the set value. It is now possible to variably control the opening!
  • the ALD method has been attracting attention as an important film formation technique (see, for example, Patent Document 2).
  • film formation of barrier metals used for wiring structures of semiconductor devices, film formation of high dielectric constant films used as gate insulating films of MOSFETs or capacitor insulating films of capacitors, etc. are considered to be advantageous application fields of the ALD method.
  • a thin film is grown for each atomic layer on a substrate to be processed, such as a semiconductor wafer, to form a conductor film or an insulator film as described above.
  • the ALD method differs from other vapor phase growth methods in which a constant reaction gas is supplied almost continuously into the processing vessel, and the two reaction gases alternate with a purging interval at a time interval of several seconds.
  • Processing capacity intermittently 1 atom or 1 molecule layer is formed by chemical reaction of both reaction gases in one cycle.
  • the thickness of the thin film formed on the substrate can be arbitrarily controlled by the number of repetitions of this cycle.
  • Patent Document 1 JP-A-7-142392
  • Patent Document 2 JP-A-6-089873
  • the ALD method has various advantages such as the ability to form a film with excellent step coverage and the more precise control of film thickness and wafer in-plane uniformity.
  • APC as described above cannot be used effectively in terms of pressure control in the processing vessel.
  • two kinds of reaction gases are alternately supplied with purging, and the force is intermittently supplied into the processing vessel in units of several seconds. If APC is used here, large pressure fluctuations caused by frequent switching of the supply gas are fed back to the APC controller, causing the valve body of the conductance valve to flutter and fail to follow, and the process pressure becomes unstable. Become.
  • PEALD Plasma Enhanced Atomic Layer Deposition
  • ALD equipment has been studied in the direction of adopting a method of fixing the valve opening of a conductance valve to a constant value without using APC, and this method makes it possible to stably form a desired ALD film. It has been confirmed that this can be done.
  • a valve opening fixing method requires a lot of experimentation in the ALD device production stage to determine the optimal valve opening setting value (fixed value), It includes the following problems.
  • the first problem is that the optimum valve opening setting value (fixed value) changes depending on the installation conditions of the ALD device, and the versatility of the device is lost.
  • the piping length of the exhaust system varies depending on the layout of the installation, and the exhaust performance varies depending on it. Vacuum bon The same applies to the case where the model etc. is different. For this reason, it is difficult to apply the valve opening setting value (fixed value) uniformly to each device in terms of process reproducibility.
  • it is not impossible to determine the optimal valve opening setting value (fixed value) by conducting a trial experiment on site at the start-up of each device it is not a practical choice when installing the ALD device on a mass production line.
  • the present invention has been made paying attention to the above-mentioned problems or issues, and can form a stable pressure without being influenced by temporal variation factors of the atmosphere in the processing container.
  • the purpose is to provide an ALD method or a thin film forming method and a thin film forming apparatus based on the ALD method that can guarantee process reproducibility without changing the contents of the process recipe according to installation conditions and secular changes. .
  • the thin film forming method of the present invention is provided with a conductance valve in an exhaust path connecting a processing container capable of being depressurized and a vacuum pump, and a substrate to be processed is disposed in the processing container. Then, a cycle including the first step of supplying the first reaction gas and the second step of supplying the second reaction gas during the film formation processing time is repeated once or a plurality of times, so that A thin film forming method for forming a film using a chemical reaction between the first reaction gas and the second reaction gas, wherein the processing is performed during a preparation time prior to the start of the film formation processing time.
  • a desired gas is supplied into the processing vessel at a set flow rate while exhausting the inside of the vessel, and the valve opening of the conductance valve when the pressure in the processing vessel substantially matches the set value is identified and a reference value
  • the first step and the film forming process At least in the first and second step in each of the cycles in a second step of holding the valve opening of said co-down duct chest valve to said reference value.
  • the thin film forming apparatus of the present invention is provided with a conductance valve in an exhaust path connecting a processing container capable of depressurization and a vacuum pump, and a substrate to be processed is disposed in the processing container.
  • a cycle including a step of supplying a first reaction gas therein and a step of supplying a second reaction gas is repeated once or a plurality of times to form the first reaction gas and the second reaction gas on the substrate.
  • a thin film forming apparatus that forms a film by using a chemical reaction with the reaction gas of the above, and the valve opening of the conductance valve is variable by a pressure feedback method so that the pressure in the processing container substantially matches a set value.
  • a valve opening holding unit for holding the valve opening of the conductance valve at the reference value.
  • a preparation time prior to the film formation processing time (for example, immediately before loading a substrate into the processing container, a standby time, or a substrate heating time after loading) is used, and during the preparation time,
  • the desired gas is supplied at a set flow rate while exhausting the gas to make the pressure in the processing container substantially match the set value, and the valve opening of the conductance valve at that time is identified and used as a reference value.
  • the valve opening of the conductance valve is maintained at the reference value. Therefore, even if the atmosphere in the container changes due to the supply gas switching in the first and second steps of each cycle, the exhaust conductance or exhaust speed is held constant (reference value), so the process pressure is Maintains stability. Even if exhaust performance fluctuates due to equipment installation conditions and aging, the optimum exhaust conductance is set according to the process pressure at the preparation stage of each film formation process, so the process recipe contents are not changed. Reproducibility can be guaranteed.
  • a stable pressure can be formed by the above-described configuration and operation without being influenced by the temporal variation factor of the atmosphere in the processing container. At the same time, process reproducibility can be guaranteed without changing the contents of the process recipe for installation conditions and aging.
  • FIG. 1 is a block diagram showing a basic configuration of an ALD apparatus according to an embodiment of the present invention.
  • FIG. 2 is a flowchart showing a basic procedure of apparatus operation according to the embodiment.
  • FIG. 3 is a block diagram illustrating a configuration example of a valve opening degree identifying unit in the embodiment.
  • FIG. 4 is a schematic cross-sectional view showing a main configuration of an ALD apparatus for forming a conductive film in the embodiment.
  • FIG. 5 is a recipe table showing an example of a recipe in the embodiment.
  • FIG. 6 is a recipe table showing an example of a recipe in a modification of the embodiment.
  • FIG. 7 is a flowchart showing a procedure of apparatus operation according to a modification of the embodiment.
  • FIG. 8 is a recipe table showing an example of a recipe in a modification of the embodiment.
  • FIG. 9 is a flowchart showing a procedure of apparatus operation according to a modification of the embodiment. Explanation of symbols
  • FIG. 1 shows a basic configuration of the ALD apparatus of the present invention.
  • This ALD apparatus includes a processing container 10 that can be depressurized, and a gas supply for selectively supplying necessary processing gases for ALD such as reaction gas and purge gas to the processing container 10 via a gas supply line 12.
  • Unit 14 plasma generating unit 16 for exciting plasma of a desired reaction gas in processing vessel 10, and processing vessel 10 are vacuumed to a desired pressure (degree of vacuum) via exhaust line (exhaust passage) 18.
  • the gas supply unit 14 includes a gas supply source and a flow rate regulator (MFC) for each processing gas.
  • the plasma generator 16 is used in the case of PEALD.
  • PEALD a plasma source such as a parallel plate type, ICP (inductively coupled plasma), or RLSA (radial 'line slot antenna).
  • the vacuum pump 20 is composed of, for example, a dry pump or a turbo molecular pump.
  • the conductance valve 22 is a butterfly valve, for example, and has a valve body that can rotate within a range of 0 ° to 90 °, for example, and an opening area or valve opening of the exhaust passage is set to 0 ° (fully closed) to 90 °. It can be changed to any value within the range of (fully open).
  • the pressure control unit 24 includes a valve controller 26, a pressure detector 28 attached to the processing vessel 10 or the vicinity thereof, a noble driving unit 30 that drives the valve body of the conductance valve 22, and a conductance valve.
  • a valve opening detection unit 32 that detects the valve opening of 22 and a valve that identifies the reference value of the valve opening of the conductance valve 22 based on the detected valve opening detection value obtained from the valve opening detection unit 32 And an opening identification unit 34.
  • the pressure detector 28 also has a vacuum gauge force, for example, and outputs an electrical signal representing the pressure in the processing container 10.
  • the valve drive unit 30 is composed of, for example, a servomotor, and the position of the valve body or the valve opening of the conductance valve 22 under the control of the valve controller 26. Is variable.
  • the valve opening detection unit 32 is connected to, for example, the valve body of the conductance knob 22 and outputs an analog voltage signal indicating the valve opening of the conductance knob 22 and the output signal of the potentiometer is subjected to predetermined sampling. It consists of an AZD transformation that converts to a digital signal at a frequency (eg 10kHz). The configuration and operation of the valve opening identification unit 34 will be described later.
  • the solenoid controller 26 cooperates with the pressure detector 28 and the valve drive unit 30 to variably control the valve opening of the conductance valve 22 so that the pressure in the processing container 10 matches the set value.
  • a feedback loop can be constructed.
  • the solenoid controller 26 cooperates with the valve opening detection unit 32 and the valve drive unit 30 to make the valve opening of the conductance valve 22 coincide with the reference value, that is, to control the exhaust path 18. It is also possible to configure a feedback loop to keep the ductance or effective pumping speed at a constant value.
  • the two types of feedback control functions of the valve controller 26 can be switched by a control signal from the main control unit 36.
  • a semiconductor wafer that is a substrate to be processed is loaded into the processing container 10 and placed on the susceptor (step Sl).
  • the semiconductor wafer is heated to a set temperature for film formation on the susceptor over a certain time.
  • the gas supply unit 14 supplies a predetermined pressure adjusting gas into the processing container 10 at a predetermined flow rate, and the controller 26 in the pressure control unit 24 controls the pressure of the APC system. Feedback control is performed, and the valve opening identification unit 34 identifies the reference value of the valve opening (step S2).
  • the valve controller 26 receives the output signal (pressure detection value) of the pressure detector 28, receives the pressure detection value from the main control unit 36 in advance, and compares it with the pressure set value. A comparison error is generated, and a control signal is given to the valve drive unit 30 in accordance with the comparison error, that is, to bring the comparison error close to zero, so that the valve opening of the conductance valve 22 is variably controlled.
  • the pressure in the processing container 10 is maintained near the pressure set value.
  • the pressure supply gas of 14 gas supply units has AL in the processing vessel 10. In order to give a gas load equivalent to that in the D cycle, it is preferable that the pressure in the processing vessel 10 is the same as the dominant reaction gas and the same flow rate.
  • valve opening detection unit 32 While the APC operation as described above is performed, the valve opening (instantaneous value) of the conductance valve 22 is detected by the valve opening detection unit 32, and the valve is opened at a constant time interval (for example, 100 ms).
  • the valve opening detection value or sample value is given from the degree detection unit 32 to the valve opening identification unit 34.
  • the valve opening degree identifying unit 34 includes a FIFO buffer memory 34a, an arithmetic mean calculating unit 34b, and a data latch circuit 34c as one configuration example.
  • the arithmetic mean computing unit 34b calculates the arithmetic mean for each of the current n sample values stored in the FIFO buffer memory 34a at every time interval (100ms). Output or update the average value m.
  • the arithmetic mean 34b is (a + a + a +----+ a + a) / n immediately before being written to the sample value a force FIFO buffer memory 34a.
  • the arithmetic mean m given by i-1 i-2 i-3 i -1 i-1 is output.
  • the new sample value ai is the FIFO buffer i-1
  • the sample value a is discarded instead, and the arithmetic mean calculator 34b calculates (a + a + a + ⁇ ⁇ ⁇ + & + a) Zn, and 1 —2 2 1
  • the calculation result is output as an arithmetic mean mi.
  • the data latch circuit 34c latches the output m of the arithmetic mean arithmetic unit 34b in response to the timing signal CK supplied from the main control unit 36.
  • the arithmetic average value m latched by the data latch circuit 34c is the value of the val s of the conductance valve 22 in the APC operation as described above.
  • the valve opening is identified as a representative value or a reference value, and is given to the main controller 35 and the valve controller 26.
  • the reference value m of the valve opening is the ALD film forming process s that is started simultaneously with the end of preheating. It is used in. Therefore, it is desirable that the valve opening degree identifying process in the valve opening degree identifying unit 34 as described above is performed just before the end of the preheat time.
  • valve controller 26 When the valve controller 26 receives the valve opening reference value m from the valve opening identifying unit 34, the valve controller 26 has performed until then through the pressure detector 28 and the valve driving unit 30 to stop the APC pressure cuff feedback control. Then, the control is switched to the feedback control for maintaining the valve opening of the conductance valve 22 at the reference value m through the valve opening detecting unit 32 and the valve driving unit 30 (step S3). In this feedback control of the valve opening hold, the valve controller 26 compares the valve opening detection value or sample value a given by the valve opening detection unit 32 at regular time intervals (100 ms) with the reference value m.
  • valve opening detection value given to the valve controller 26 from the valve opening detection unit 32 may have a different time interval (sampling period) from that for the valve opening identification unit 34 or may be an analog signal. It may be in form.
  • An ALD cycle basically consists of four phases, ie, first, second, third and fourth step (S4, S5, S6, S7) forces.
  • the processing gas A first reaction gas
  • the molecules of the processing gas A that are sent in are used as precursors for one molecule on the semiconductor wafer. Adsorb only the layer.
  • a purge gas such as an inert gas tank is sent from the gas supply unit 14 into the processing container 10, and excess processing gas A remaining unadsorbed in the processing container 10 is removed from the container 10.
  • the processing gas B (second reactive gas) is sent from the gas supply unit 14 into the processing container 10 (S6A).
  • the plasma generating unit 16 is turned on to plasma the processing gas B in the processing container 10 (S6B), and the reactive species (radicals and ions) of the processing gas B are adsorbed onto the semiconductor wafer.
  • Chemical reaction with the processing gas A This chemical reaction causes a thin film on the semiconductor wafer. The film grows only one atom or one molecule layer.
  • the plasma excitation is stopped after a certain time (S6C), and the third step (S6) is completed.
  • a purge gas such as an inert gas tank is sent from the gas supply unit 14 into the processing container 10, and the excess processing gas B and the unreacted residual processing gas B and The reactive species are discharged out of the container 10.
  • the processing gas B is plasma-excited !, and reacts with the processing gas A only when it is activated. Therefore, for example, the first step can be performed only in the third step S6. In addition, the processing gas B can be supplied into the processing container 10 during the second steps S4 and S5.
  • step S8 When the above ALD cycle (S4 to S7) is repeated a predetermined number of times, it is determined that the thin film on the semiconductor wafer has reached the desired film thickness (step S8), and the ALD film forming process is terminated. . Next, the processed semiconductor wafer is released from the processing container 10 with the susceptor separated (step S10).
  • a gas load simulating the ALD film forming process is applied to the processing container 10 during the wafer temperature rising period (preheating period) prior to the ALD film forming process.
  • APC feedback control is performed, and the valve opening of the conductance valve 22 when the gas pressure in the processing vessel 10 substantially matches the set value is determined as the reference value.
  • feedback control is performed so that the noble opening of the conductance valve 22 matches the reference value. Even if the gas sent to the processing vessel 10 in the ALD cycle is switched in units of several seconds, the exhaust conductance is Keep the process constant and keep the process pressure stable.
  • each operating ALD device can always operate (in a single wafer processing unit) with the optimum exhaust conductance according to the pressure setting value without being affected by the installation conditions and aging. Process reproducibility can be guaranteed without changing the contents of the recipe. This improves the versatility and maintainability of the ALD device.
  • FIG. 4 is a schematic cross-sectional view showing the configuration of the main part of the ALD device
  • Fig. 5 is a recipe table showing the main process conditions (part) used in this ALD device
  • Fig. 6 is a sequence of device operation.
  • a processing container 10 of this ALD apparatus is made of, for example, aluminum or SUS (stainless alloy) whose surface is anodized, and is grounded for safety.
  • a susceptor 40 for placing, for example, a semiconductor wafer W as a substrate to be processed is installed at the center of the processing container 10.
  • the susceptor 40 is also made of a material excellent in corrosion resistance and heat resistance, such as stainless steel or sterolica, and is horizontally supported by a support portion 42 extending vertically upward from the bottom of the processing vessel 10.
  • the susceptor 40 has a built-in heater (not shown) so that the semiconductor wafer W can be heated to a desired temperature.
  • a substrate loading / unloading port (not shown) that can be opened and closed by a gate valve (not shown), for example, is provided on the side wall of the processing vessel 10.
  • An exhaust port 44 is provided at the bottom of the processing vessel 10.
  • An exhaust line (exhaust passage) 18 leading to the vacuum pump 20 is connected to the exhaust port 44, and a conductance valve 22 is attached in the middle of the exhaust line 18.
  • the opening degree of the conduct valve 22 is controlled by the pressure control unit 24 in the same manner as in the basic embodiment (FIG. 1).
  • a cylindrical shower head portion 46 that also serves as an upper electrode is provided above the susceptor 40 with a certain interval therebetween.
  • a ceramic such as quartz or Al 2 O 3 is used on the surfaces (side surface and upper surface) excluding the gas ejection surface (lower surface) of the shower head 46.
  • Insulating shielding material 48 that also provides mixing power is provided.
  • the shower head unit 46 is provided with a first gas introduction chamber 50 and a second gas introduction chamber 52 which are divided into multiple stages, so that two kinds of reaction gases can be supplied to the processing vessel 10 via separate gas introduction chambers. It can be introduced into the processing space 10a.
  • An opening is provided in the upper surface of the processing vessel 10, and an insulating member 54 is passed through the opening. Has been.
  • a conductor 58 connected to the high-frequency power source 56 of the plasma generating unit 16 is inserted into the insulating member 54 and connected to the upper portion of the shower head unit 46.
  • a high frequency output from the high frequency power source 56 at a predetermined power is applied to the shower head unit 46 via the conductor 58, and a high frequency for generating plasma between the shower head unit 46 and the susceptor 10 in a parallel plate system.
  • An electric field is formed!
  • the gas supply unit 14 in the ALD apparatus has individual gas supply sources for the processing gas A, the processing gas B, and the purge gas.
  • the processing gas A is composed of a source gas containing the conductive film material as a compound
  • the processing gas B is composed of a reducing gas for reducing the metal compound of the processing gas A
  • the purge gas is composed of a rare gas or an inert gas.
  • the processing gas A is vaporized TaCl and a carrier.
  • the gas supply source of the processing gas A is connected to the first gas introduction chamber 50 of the shower head unit 46 via the first gas supply line 12a.
  • An opening / closing valve 60a, a first flow rate regulator (MFC) 62a, and an opening / closing valve 64a are provided in the middle of the first gas supply line 12a.
  • the gas supply source of the processing gas B and the gas supply source of the purge gas are connected to the second gas introduction chamber 52 of the shear head section 46 via the second gas supply line 12b.
  • on-off valves 60b, 60c, a second flow rate controller (MFC) 62b, and an on-off valve 64b are provided in the middle of the second gas supply line 12b.
  • the main control unit 36 (not shown in Fig. 4) performs overall control of the entire apparatus and each unit. At that time, the main control unit 36 operates by incorporating the process conditions set and inputted through the recipe table (FIG. 5) into the software. In the recipe table of FIG. 5, the set values are shown only for items that are particularly related to the present invention in the process conditions.
  • Stepl corresponds to Step S2 and Step2 corresponds to Step S3 in the preheating period.
  • Step 4 Force S Step S5
  • Step 5 Force S Step S6 (S6A, S6 B, S6C)
  • Step 6 corresponds to Step S7, respectively.
  • the function or operation of this ALD device will be described along the contents of the recipe table in FIG.
  • Stepl is instructed to supply the processing gas B in the APC mode within an arbitrary set time T1 (for example, 60 seconds).
  • T1 for example, 60 seconds.
  • the device side automatically monitors the valve opening of the conductance valve 22 by operating the valve opening detecting unit 32 and the valve opening identifying unit 34 automatically in the pressure control unit 24 during this APC operation. Will do.
  • Step 2 is incorporated in a certain time, for example, 1 (second) just before the end of the preheating period, and indicates “: HOLD” as the pressure control mode.
  • the device side gives the control signal CK from the main control unit 36 to the valve opening identification unit 34 to determine or identify the reference value m of the valve opening, and sets the pressure control mode to APC. Force valve opening HO
  • the pressure control mode is indicated as “HOLD” in all Steps 3 to 6 in the recipe table (FIG. 5).
  • the device side will fix the pressure control mode to the valve opening HOLD throughout the ALD deposition processing time.
  • the processing gas B is designated in addition to the original processing gas A as the gas fed into the processing container 10.
  • the processing gas B reducing gas
  • sending the pre-process gas B entering Step 5 into the process vessel 10 has an advantage that the plasma can be ignited stably and reliably. In that respect, it is also preferable to send the processing gas B (reducing gas) into the processing vessel 10 together with the purge gas (Ar gas) in purging in Step 4.
  • the flow rate of process gas A is much smaller than the flow rate of process gas B (reducing gas), so the flow rate of process gas B (reducing gas) is the standard. It can be. Therefore, when the valve opening of the conductance valve 22 is identified in the APC mode during the preheat period as described above, the gas load is the same as in the ALD cycle. Processing gas B (reducing gas) may be fed into the processing vessel 10. However, it is also possible to use or mix an inert gas as appropriate.
  • Step 5 plasma excitation of process gas B (H gas) is performed. Plasma from H gas
  • H + ZH * hydrogen ion and hydrogen radical
  • These reactive species (H + / H *) are adsorbed on the surface of the semiconductor wafer W at the atomic layer level, and the conductive compound is reduced to form a film of one atomic layer.
  • the exhaust conductance is kept constant, thereby maintaining the pressure in the processing vessel 10 stably, so that the plasma of the processing gas B (H gas) can be stably and reliably supplied. Can be ignited.
  • Step 6 the surplus process gas B (reducing gas) is discharged, so that only the purge gas (Ar gas) may be sent into the process vessel 10.
  • the processing times T to T in Step 3 to Step 6 are usually selected to be about 3 to 5 seconds.
  • the pressure control of the valve opening hold is continued in the pressure control unit 24 throughout the ALD film formation processing time.
  • Steps 3 and 5 may be specified in Steps 3 and 5 for supplying process gases A and ⁇ in the ALD cycle, respectively.
  • “ReHOLD” means switching to the valve opening HOLD mode again, that is, holding the valve opening of the conductance valve 22 at the reference value m again.
  • Steps 3 and 5 of purging “Angle s
  • Angle90 means that the valve opening of the conductance valve 22 is maintained at 90 ° (fully open).
  • steps Sa and Sc for "valve fully open” and steps Sb and Sd for "valve opening re-hold" are added to the basic procedure (Fig. 2).
  • step S4 Step 3
  • step S5 Step 4
  • purging is performed at the maximum exhaust speed.
  • step S6 Step 5
  • step S6 Step 5
  • step S6 Step 5
  • the valve opening of the conductance valve 22 is switched to the maximum value (90 °) at step Sc immediately before purging (S7, Step 6), and returned to the reference value m at step Sd immediately after purging (S7, Step 6). It is.
  • Angle80 By specifying “Angle80” in the recipe table (Fig. 6),
  • the valve opening of the conductance valve 22 during jing can be fixed at 80 °.
  • the above-described embodiment is a force related to PEALD.
  • the present invention can also be applied to an ALD method that does not use plasma. In that case, it is necessary to avoid the simultaneous supply of the processing gases A and B so that the processing gases A and B do not react in the gas phase at each step of the ALD cycle, and the exhaust conductance when the processing gases A and B are supplied. Preferred to control independently.
  • the processing gases A and B are sequentially exchanged and supplied to the processing container during the preheating period. It is possible to identify the valve opening of the conductance valve when APC operation is performed with an equivalent gas load, and to obtain individual reference values m and m for each of processing gases A and B.
  • step S4 (Step 3) in which processing gas A is supplied to the processing vessel, the pressure control is switched to the valve opening HOLD1 mode in steps S3 and S3, and the valve opening of the conductance valve is set.
  • the reference value m m
  • step S6 when the processing gas B is supplied to the valve, the pressure control is switched to the valve opening HOLD2 mode in step S3 'so that the valve opening of the conductance valve is held at the reference value m. it can.
  • a force processing gas that is particularly advantageously applied to ALD is processed in a short time because the processing gas is supplied to the processing vessel discontinuously or intermittently. It can be applied to any film forming technology that varies greatly. Therefore, for example, a method in which a step other than purging is inserted between the step in which processing gas A is fed into the processing vessel and the step in which processing gas B is fed, or a method in which no steps are inserted, etc.
  • the present invention can also be applied.
  • the substrate to be processed in the present invention is not limited to a semiconductor wafer, and may be a glass substrate for a flat display panel, for example.
  • a stable pressure can be formed without being influenced by temporal variation factors of the atmosphere in the processing container by the configuration and operation as described above. At the same time, process reproducibility can be guaranteed without changing the contents of the process recipe for installation conditions and aging.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

 本発明は、減圧可能な処理容器と真空ポンプとを結ぶ排気路にコンダクタンスバルブを設け、前記処理容器内に被処理基板を配置して、成膜処理時間中に第1の反応ガスを供給する第1のステップと第2の反応ガスを供給する第2のステップとを含むサイクルを1回または複数回繰り返して、前記基板上に前記第1の反応ガスと前記第2の反応ガスとの化学反応を利用して膜を形成する薄膜形成方法であって、前記成膜処理時間の開始に先立つ準備時間中に、前記処理容器内を排気しながら所望のガスを設定流量で前記処理容器内に供給し、前記処理容器内の圧力が設定値にほぼ一致するときの前記コンダクタンスバルブのバルブ開度を同定して基準値とする第1の工程と、前記成膜処理時間中の各々の前記サイクルにおいて少なくとも前記第1および第2のステップ中は前記コンダクタンスバルブのバルブ開度を前記基準値に保持する第2の工程とを有する薄膜形成方法を用いている。

Description

薄膜形成方法及び薄膜形成装置
技術分野
[0001] 本発明は、被処理基板上に薄膜を形成する成膜技術に係り、特に原子層気相成 長 (ALD : Atomic Layer Deposition)法を利用する薄膜形成方法および装置に関す る。
背景技術
[0002] 半導体製造装置において処理容器内の圧力制御は極めて重要な技術である。従 来より、プラズマ CVD装置あるいは減圧 CVD装置等の化学気相成長法の薄膜形成 装置では、処理容器内の圧力制御のために APC (自動圧力制御)が広く使用されて いる (たとえば特許文献 1参照)。
[0003] 一般に、この種の APCは、処理容器と真空ポンプとを結ぶ排気路にたとえばバタフ ライバルブからなるコンダクタンスバルブを設け、圧力フィードバック方式で該コンダク タンスバルブのバルブ開度を可変制御するようにしている。より詳細には、コンダクタ ンスバルブのバルブ開度をコントローラの制御の下でモータにより一定範囲たとえば 0° (全閉)〜 90° (全開)の範囲内で任意の値に可変できるようにし、処理容器に取 り付けた真空計等の圧力検出器の出力信号 (圧力瞬時値)をコントローラにフィード ノ ックして、圧力測定値を設定値に一致させるようにコントローラがモータを通じてコ ンダクタンスバルブのバルブ開度を可変制御するようになって!/、る。
一方、最近の半導体デバイス製造においては、 ALD法が重要な成膜技術として注 目されてきている(たとえば特許文献 2参照)。特に、半導体デバイスの配線構造体に 使用するバリアメタルの成膜、 MOSFETのゲート絶縁膜あるいはキャパシタの容量 絶縁膜として使用する高誘電率膜の成膜等が、 ALD法の有利な応用分野とされて いる。 ALD法は、被処理基板たとえば半導体ウェハ上に 1原子層ごとに薄膜を成長 させて上記のような導電体膜あるいは絶縁体膜を形成する。このため、 ALD法では、 一定の反応ガスがほぼ連続的に処理容器内に供給される他の気相成長法とは異な り、数秒の時間間隔で 2種の反応ガスがパージングを挟んで交互に断続的に処理容 器内に供給され、 1サイクル内に両反応ガスの化学反応により 1原子または 1分子の 層が形成される。このサイクルの繰り返し数で基板上に形成される薄膜の膜厚を任意 に制御することができる。
特許文献 1 :特開平 7— 142392号公報
特許文献 2 :特開平 6— 089873号公報
発明の開示
発明が解決しょうとする課題
[0004] ALD法は、ステップカバレッジに優れた膜形成を可能とし、膜厚やウェハ面内均一 性をより精密に制御できる等の様々な利点がある。し力しながら、処理容器内の圧力 制御の面で上記のような APCを有効に利用できないという不利点がある。つまり、 AL D法では、上述したように 2種類の反応ガスをパージングを挟んで交互にし力も数秒 単位で断続的に処理容器内に供給する。ここに、 APCを用いたならば、供給ガスの 頻繁な切り替えによって生じる大きな圧力変動が APCコントローラにフィードバックさ れることによってコンダクタンスバルブの弁体がばたついて追従不能となり、プロセス 圧力は却って不安定になる。特に、処理容器内で片方の反応ガスをプラズマで励起 して反応種を生成するプラズマ励起 ALD (PEALD: Plasma Enhanced Atomic Layer Deposition)では、反応ガスのオン Zオフだけでなくプラズマのオン Zオフも短 、周 期で頻繁に行われるため、 APCがハンチングを起こしやすぐプロセス圧力の不安 定はより顕著であり、プラズマ点火の制御ができなくなることもある。
[0005] そこで、 ALD装置では、 APCを使わずに、コンダクタンスバルブのバルブ開度を一 定値に固定する方式を採用する方向で検討がなされており、この方式によって所望 の ALD成膜を安定に行えることが確認されている。し力しながら、そのようなバルブ 開度固定方式は、 ALD装置の製作段階で多くの実験を重ねて最適なバルブ開度 設定値(固定値)を割り出す作業が必要となるにも拘わらず、以下に述べるような問 題を内包している。
[0006] 第 1の問題は、 ALD装置の設置条件により、最適なバルブ開度設定値(固定値)が 変わってしまい、装置の汎用性が失われることである。たとえば、設置のレイアウトに より排気系の配管長はまちまちであり、それによつて排気性能が違ってくる。真空ボン プ等の機種等が異なる場合も、同様である。このため、バルブ開度設定値(固定値) を各装置に画一に適用することはプロセス再現性の面で難しくなる。各装置の立ち 上げ時に現場で試行実験して最適なバルブ開度設定値(固定値)を割り出すことも 不可能ではないが、 ALD装置の量産ラインへの設置に際しては現実的な選択では ない。
[0007] 第 2に、経年変化の問題がある。 ALD装置の全体または各部の経年変化により排 気性能が変化すると、コンダクタンスバルブの最適なノ レブ開度が変わってしま!/、、 上記第 1の問題と同様の結果になる。ここでも、定期的な実験 (メンテナンス)によりバ ルブ開度設定値(固定値)の見直しまたは調整を行う対応策も考えられるが、量産ラ インで稼動する生産装置としては生産に寄与しないダウンタイムが大幅に増えてしま
[0008] 本発明は、上記のような問題点あるいは課題に着目してなされたものであって、処 理容器内の雰囲気の時間的な変動要素に左右されずに安定した圧力を形成できる とともに、設置条件や経年変化等に対してプロセスレシピの内容を変更することなく プロセス再現性を保証できる ALD法またはそれに準じた方式の薄膜形成方法およ び薄膜形成装置を提供することを目的とする。
課題を解決するための手段
[0009] 上記の目的を達成するために、本発明の薄膜形成方法は、減圧可能な処理容器と 真空ポンプとを結ぶ排気路にコンダクタンスバルブを設け、前記処理容器内に被処 理基板を配置して、成膜処理時間中に第 1の反応ガスを供給する第 1のステップと第 2の反応ガスを供給する第 2のステップとを含むサイクルを 1回または複数回繰り返し て、前記基板上に前記第 1の反応ガスと前記第 2の反応ガスとの化学反応を利用し て膜を形成する薄膜形成方法であって、前記成膜処理時間の開始に先立つ準備時 間中に、前記処理容器内を排気しながら所望のガスを設定流量で前記処理容器内 に供給し、前記処理容器内の圧力が設定値にほぼ一致するときの前記コンダクタン スバルブのバルブ開度を同定して基準値とする第 1の工程と、前記成膜処理時間中 の各々の前記サイクルにおいて少なくとも前記第 1および第 2のステップ中は前記コ ンダクタンスバルブのバルブ開度を前記基準値に保持する第 2の工程とを有する。 [0010] また、本発明の薄膜形成装置は、減圧可能な処理容器と真空ポンプとを接続する 排気路にコンダクタンスバルブを設け、前記処理容器内に被処理基板を配置して、 成膜処理時間中に第 1の反応ガスを供給するステップと第 2の反応ガスを供給するス テツプとを含むサイクルを 1回または複数回繰り返して、前記基板上に前記第 1の反 応ガスと前記第 2の反応ガスとの化学反応を利用して膜を形成する薄膜形成装置で あって、前記処理容器内の圧力を設定値にほぼ一致させるように圧力フィードバック 方式で前記コンダクタンスバルブのバルブ開度を可変制御するための自動圧力制御 部と、前記処理容器内の圧力が設定値にほぼ一致するときの前記コンダクタンスバ ルブのバルブ開度を同定して基準値とするバルブ開度同定部と、前記コンダクタンス バルブのバルブ開度を前記基準値に保持するためのバルブ開度保持部とを有する
[0011] 本発明では、成膜処理時間に先立つ準備時間 (たとえば、基板を処理容器に搬入 する直前または待機時間あるいは搬入後の基板昇温時間)を利用し、その準備時間 中に処理容器内を排気しながら所望のガスを設定流量で供給して処理容器内の圧 力を設定値にほぼ一致させ、そのときのコンダクタンスバルブのバルブ開度を同定し て基準値とする。そして、成膜処理時間中は、コンダクタンスバルブのバルブ開度を 基準値に保持する。したがって、各サイクルの第 1および第 2のステップで供給ガスが 切り換わることによって容器内の雰囲気が変化しても、排気コンダクタンスないし排気 速度が一定 (基準値)に保持されるので、プロセス圧力は安定に維持される。装置の 設置条件や経年変化によって排気性能に変動が生じても、各成膜処理の準備段階 でプロセス圧力に応じた最適な排気コンダクタンスが設定されるため、プロセスレシピ の内容を変更することなくプロセス再現性を保証できる。
発明の効果
[0012] 本発明の薄膜形成方法または薄膜形成装置によれば、上記のような構成と作用に より、処理容器内の雰囲気の時間的な変動要素に左右されずに安定した圧力を形 成できるとともに、設置条件や経年変化等に対してプロセスレシピの内容を変更する ことなくプロセス再現性を保証できる。
図面の簡単な説明 [0013] [図 1]本発明の一実施形態による ALD装置の基本構成を示すブロック図である。
[図 2]実施形態による装置動作の基本手順を示すフローチャート図である。
[図 3]実施形態におけるバルブ開度同定部の一構成例を示すブロック図である。
[図 4]実施形態における導電膜形成用 ALD装置の主要な構成を示す略断面図であ る。
[図 5]実施形態におけるレシピの一例を示すレシピ表である。
[図 6]実施形態の一変形例におけるレシピの一例を示すレシピ表である。
[図 7]実施形態の一変形例による装置動作の手順を示すフローチャート図である。
[図 8]実施形態の一変形例におけるレシピの一例を示すレシピ表である。
[図 9]実施形態の一変形例による装置動作の手順を示すフローチャート図である。 符号の説明
[0014] 10 処理容器
12 ガス供給ライン
14 ガス供給部
16 プラズマ発生部
18 排気ライン (排気路)
20 真空ポンプ
22 コンダクタンスノ レブ
24 圧力制御部
26 ノ ノレブコントローラ
28 圧力検出器
30 バルブ駆動部
32 バルブ開度検出部
34 バルブ開度同定部
36 主制御部
40 サセプタ
46 シャワーヘッド部
発明を実施するための最良の形態 [0015] 以下、添付図を参照して本発明の実施の形態を説明する。
[0016] 図 1に、本発明の ALD装置の基本構成を示す。この ALD装置は、減圧可能な処 理容器 10と、この処理容器 10にガス供給ライン 12を介して ALD用の所要の処理ガ スたとえば反応ガスやパージガス等を選択的に供給するためのガス供給部 14と、処 理容器 10内で所望の反応ガスをプラズマ励起するためのプラズマ発生部 16と、処 理容器 10を排気ライン (排気路) 18を介して所望の圧力 (真空度)に真空引きするた めの真空ポンプ 20と、排気ライン 18の途中に設けられているコンダクタンスバルブ 2 2と、処理容器 10内の圧力または排気コンダクタンスを制御するための圧力制御部 2 4と、装置全体および各部を統括制御するための主制御部 36とを有して 、る。
[0017] 処理容器 10内には、被処理基板たとえば半導体ウェハを載置するための載置台 またはサセプタが配置され、該サセプタの内部に基板を一定温度に加熱するための ヒータが設けられている。ガス供給部 14は、各々の処理ガス毎にガス供給源および 流量調整器 (MFC)を備えている。プラズマ発生部 16は、 PEALDの場合に用いら れるもので、たとえば平行平板型、 ICP (誘導結合プラズマ)、 RLSA (ラジアル 'ライ ン ·スロット ·アンテナ)等のプラズマ源力 なり、一定周波数の高周波を出力する高周 波電源を備えている。真空ポンプ 20は、たとえばドライポンプまたはターボ分子ボン プ等で構成される。コンダクタンスバルブ 22は、たとえばバタフライバルブからなり、 たとえば 0° 〜90°の範囲内で回転可能な弁体を有し、排気路の開口面積またはバ ルブ開度を 0° (全閉)〜 90° (全開)の範囲内で任意の値に可変できるようになって いる。
[0018] 圧力制御部 24は、バルブコントローラ 26と、処理容器 10またはその近傍に取り付 けられた圧力検出器 28と、コンダクタンスバルブ 22の弁体を駆動するノ レブ駆動部 30と、コンダクタンスバルブ 22のバルブ開度を検出するバルブ開度検出部 32と、こ のバルブ開度検出部 32より得られるノ レブ開度検出値を基にコンダクタンスバルブ 22のバルブ開度の基準値を同定するバルブ開度同定部 34とを有している。
[0019] ここで、圧力検出器 28は、たとえば真空計力もなり、処理容器 10内の圧力を表す 電気信号を出力する。バルブ駆動部 30は、たとえばサーボモータからなり、バルブコ ントローラ 26の制御の下でコンダクタンスバルブ 22の弁体の位置またはバルブ開度 を可変する。バルブ開度検出部 32は、たとえば、コンダクタンスノ レブ 22の弁体に 連結され、コンダクタンスノ レブ 22のバルブ開度を表すアナログの電圧信号を出力 するポテンショメータと、このポテンショメータの出力信号を所定のサンプリング周波 数 (たとえば 10kHz)でディジタル信号に変換する AZD変翻とで構成されて 、る 。バルブ開度同定部 34の構成および作用は後述する。
[0020] ノ レブコントローラ 26は、圧力検出器 28およびバルブ駆動部 30と協働して、処理 容器 10内の圧力を設定値に一致させるようにコンダクタンスバルブ 22のバルブ開度 を可変制御する圧力フィードバックループを構成することができる。他方で、ノ レブコ ントローラ 26は、バルブ開度検出部 32およびバルブ駆動部 30と協働して、コンダク タンスバルブ 22のノ レブ開度を基準値に一致させるための、つまり排気路 18のコン ダクタンスまたは実効排気速度を一定値に保持するためのフィードバックループを構 成することもできる。バルブコントローラ 26の上記 2種類のフィードバック制御機能は、 主制御部 36からの制御信号によって切り換えられるようになつている。
[0021] 次に、図 2のフローチャートにしたがつてこの ALD装置の動作を説明する。この装 置動作は、所定のソフトウェアにしたがい主制御部 36の制御の下で実行される。
[0022] 最初に、被処理基板である半導体ウェハを処理容器 10内に搬入し、サセプタ上に 載置する (ステップ Sl)。
[0023] 次に、 ALD成膜処理に先立ち、一定の時間を費やしてサセプタ上で半導体ウェハ を成膜用の設定温度に昇温する。このウェハ昇温期間 (プリヒート期間)中に、ガス供 給部 14が所定の圧力調整用ガスを所定の流量で処理容器 10内に供給するとともに 、圧力制御部 24でコントローラ 26が APC方式の圧力フィードバック制御を行い、ノ ルブ開度同定部 34がバルブ開度の基準値を同定する (ステップ S 2)。
[0024] 詳細には、バルブコントローラ 26は、圧力検出器 28の出力信号 (圧力検出値)を受 け取って、その圧力検出値を主制御部 36から予め受け取って 、る圧力設定値と比 較して比較誤差を生成し、比較誤差に応じて、つまり比較誤差を零に近づけるよう〖こ 制御信号をバルブ駆動部 30に与えて、コンダクタンスバルブ 22のバルブ開度を可 変制御する。この APC動作により、処理容器 10内の圧力が圧力設定値付近に保た れるようになる。なお、ガス供給部 14力もの圧力調整用ガスは、処理容器 10内に AL Dサイクルの時と同等のガス負荷を与えるように、処理容器 10内の圧力に関して支 配的な反応ガスと同じガス種で同じ流量であるのが好ましい。
[0025] 上記のような APC動作が行われている間、コンダクタンスバルブ 22のバルブ開度( 瞬時値)がバルブ開度検出部 32によって検出され、一定の時間間隔 (たとえば 100 ms)でバルブ開度検出部 32よりバルブ開度検出値またはサンプル値がバルブ開度 同定部 34に与えられる。
[0026] 図 3に示すように、バルブ開度同定部 34は、一構成例として、 FIFOバッファメモリ 3 4a、相加平均演算部 34bおよびデータラッチ回路 34cを有している。 FIFOバッファメ モリ 34aは、バルブ開度検出部 32より上記時間間隔(100ms)毎に時系列で与えら れるサンプル値を先入れ先出し方式で一時に n個(nは自然数、たとえば n= 30)蓄 積する。したがって、バルブ開度検出部 32からの新たなサンプル値 aが FIFOバッフ ァメモリ 34aに書き込まれると、それまで蓄積されていた 30個のサンプル値の中で最 も古 、(a;より n個分前の)サンプル値 aHiが追い出されるようにしてメモリ 34aの外へ 捨てられる。
[0027] 相加平均演算部 34bは、 FIFOバッファメモリ 34aに蓄積されている現時の n個のサ ンプル値につ!、て上記時間間隔(100ms)毎に相加平均を演算して相加平均値 m を出力ないし更新する。図 3の例では、サンプル値 a力 FIFOバッファメモリ 34aに書 き込まれる直前に、相加平均演算部 34bは(a +a +a + - - - - +a +a ) /n
i-1 i-2 i-3 i -1 i-1 で与えられる相加平均値 m を出力している。ここに、新たなサンプル値 aiが FIFOバ i-1
ッファメモリ 34aに書き込まれると、それと入れ替わりにサンプル値 a が捨てられて、 相加平均演算部 34bは(a + a +a + · · · · +& +a ) Znを演算して、その演 —1 —2 2 1
算結果を相加平均値 miとして出力する。
[0028] データラッチ回路 34cは、主制御部 36より与えられるタイミング信号 CKに応動して 相加平均演算部 34bの出力 mをラッチする。このデータラッチ回路 34cにラッチされ た相加平均値 mは、上記のような APC動作におけるコンダクタンスバルブ 22のバル s
ブ開度の代表値または基準値として同定されたものであり、主制御部 35およびバル ブコントローラ 26に与えられる。
[0029] このバルブ開度の基準値 mはプリヒートの終了と同時に開始される ALD成膜処理 s で用いられるものである。したがって、上記のようなバルブ開度同定部 34におけるバ ルブ開度同定処理はプリヒート時間の終了間際に行われるのが望ましい。
[0030] バルブコントローラ 26は、バルブ開度同定部 34よりバルブ開度基準値 mを受け取 ると、それまで圧力検出器 28およびバルブ駆動部 30を通じて行って 、た APCの圧 カフイードバック制御を停止し、バルブ開度検出部 32およびバルブ駆動部 30を通じ てコンダクタンスバルブ 22のバルブ開度を基準値 mに保持するためのフィードバック 制御に切り換わる (ステップ S3)。このバルブ開度ホールドのフィードバック制御にお いて、バルブコントローラ 26は、バルブ開度検出部 32より一定時間間隔(100ms)毎 に与えられるバルブ開度検出値またはサンプル値 aを基準値 mと比較して比較誤差 を生成し、比較誤差に応じて、つまり比較誤差を零に近づけるための制御信号をバ ルブ駆動部 30に与えて、コンダクタンスバルブ 22のバルブ開度を基準値 m付近に 保つ。このバルブ開度ホールド動作により、 ALD処理時間中は処理容器 10の排気 系統における排気コンダクタンスまたは排気速度が一定に保たれるようになる。なお 、ノ レブ開度検出部 32よりバルブコントローラ 26に与えられるバルブ開度検出値は 、バルブ開度同定部 34に対するのと異なる時間間隔 (サンプリング周期)を有しても よぐあるいはアナログ信号の形態であってもよ 、。
[0031] ALD処理時間中は、同一の ALDサイクル (ステップ S4〜S7)が複数回繰り返され る(ステップ S8, S9)。 1つの ALDサイクルは、基本的には 4つのフェーズつまり第 1、 第 2、第 3および第 4のステップ(S4、 S5、 S6、 S7)力らなる。第 1のステップ S4では、 ガス供給部 14より処理容器 10内に処理ガス A (第 1の反応ガス)が送り込まれ、送り 込まれた処理ガス Aの分子がプリカーサとして半導体ウェハ上に 1分子の層だけ吸 着する。第 2のステップ S5では、ガス供給部 14より処理容器 10内にたとえば不活性 ガスカゝらなるパージガスが送り込まれ、処理容器 10内に未吸着で残留していた余分 な処理ガス Aが容器 10の外へ排出される。第 3のステップ S6では、先ずガス供給部 14より処理容器 10内に処理ガス B (第 2の反応ガス)が送り込まれる(S6A)。ここで、 PEALDの場合は、プラズマ発生部 16をオンにして処理容器 10内で処理ガス Bをプ ラズマ化し (S6B)、処理ガス Bの反応種 (ラジカルやイオン)を半導体ウェハ上に吸 着して!/ヽる処理ガス Aと化学反応させる。この化学反応によって半導体ウェハ上の薄 膜が 1原子または 1分子の層だけ成長する。そして、一定時間後にプラズマ励起を停 止することで(S6C)、第 3のステップ(S6)が終了する。次の第 4のステップ S7では、 ガス供給部 14より処理容器 10内にたとえば不活性ガスカゝらなるパージガスが送り込 まれ、処理容器 10内に未反応で残留していた余分な処理ガス Bおよびその反応種 が容器 10の外へ排出される。
[0032] なお、 PEALDの場合は、上記のように処理ガス Bはプラズマ励起されて!、る時だ け処理ガス Aと有効に反応するので、第 3のステップ S6だけでなぐたとえば第 1およ び第 2のステップ S4, S5の間も処理ガス Bを処理容器 10内に供給することができる。
[0033] 上記のような ALDサイクル(S4〜S7)を所定回数繰り返すと、半導体ウェハ上の薄 膜が所望の膜厚に達したものと判定し (ステップ S8)、 ALD成膜処理を終了する。次 いで、処理済みの半導体ウェハをサセプタカも離して処理容器 10の外へ搬出する( ステップ S 10)。
[0034] 上述したように、この実施形態の ALD装置においては、 ALD成膜処理に先立つゥ ェハ昇温期間(プリヒート期間)中に、処理容器 10に ALD成膜処理を模擬したガス 負荷をかけて APC式のフィードバック制御を行い、処理容器 10内のガス圧力が設定 値にほぼ一致しているときのコンダクタンスバルブ 22のバルブ開度を基準値として同 定する。そして、 ALD処理時間中は、コンダクタンスバルブ 22のノ レブ開度を基準 値に一致させるためのフィードバック制御を行って、 ALDサイクルで処理容器 10に 送り込まれるガスが数秒単位で切り換わっても排気コンダクタンスを一定に保持し、プ ロセス圧力を安定に維持するようにして!/、る。
[0035] また、この実施形態では、各稼動 ALD装置が設置条件や経年変化の影響を受け ずに常に (枚葉処理単位で)圧力設定値に応じた最適な排気コンダクタンスで動作 できるので、プロセスレシピの内容を変更することなくプロセス再現性を保証できる。 このことにより、 ALD装置の汎用性やメンテナンス性を向上させることができる。そし て、量産ラインで稼動できる薄膜形成装置として実用性十分の ALD装置を提供する ことができる。
[0036] なお、処理容器 10内に半導体ウェハが入っていない状態の下で、たとえば半導体 ウェハを処理容器 10に搬入する直前または待機時間中に、上記のように APC式の フィードバック制御を行ってコンダクタンスバルブ 22のバルブ開度を同定しておくこと も可能である。
[0037] 次に、図 4〜図 6にっき、上記実施形態の一具体例として導電膜形成用の ALD装 置を説明する。図 4は ALD装置の要部の構成を示す略断面図であり、図 5はこの AL D装置で用いる主プロセス条件(一部)を示すレシピ表であり、図 6は装置動作のシ 一ケンスを示すタイミング図である。図 4の装置において、図 1の装置と同様の構成ま たは機能を有する部分には同一の符号を附している。
[0038] 図 4において、この ALD装置の処理容器 10は、たとえば表面がアルマイト処理され たアルミニウムあるいは SUS (ステンレス合金)などからなり、保安接地されている。処 理容器 10内の中心部には、被処理基板としてたとえば半導体ウェハ Wを載置するた めのサセプタ 40が設置されている。このサセプタ 40は、耐食性と耐熱性に優れた材 質たとえばノ、ステロイカもなり、処理容器 10の底部から垂直上方に延びる支持部 42 に水平に支持されている。また、サセプタ 40にはヒータ(図示せず)が内蔵されており 、半導体ウェハ Wを所望の温度に加熱できるようになって 、る。
[0039] 処理容器 10の側壁には、たとえばゲートバルブ(図示せず)によって開閉可能な基 板搬入出口(図示せず)が設けられている。処理容器 10の底部には、排気口 44が設 けられている。この排気口 44に真空ポンプ 20に通じる排気ライン (排気路) 18が接続 され、排気ライン 18の途中にコンダクタンスバルブ 22が取り付けられる。このコンダク タンスバルブ 22のノ レブ開度は上記基本実施形態(図 1)と同様に圧力制御部 24に よって制御される。
[0040] 処理容器 10内において、サセプタ 40の上方には、一定の間隔を空けて上部電極 を兼ねる円筒状のシャワーヘッド部 46が設置されて!、る。このシャワーヘッド部 46の ガス噴出面(下面)を除く面 (側面および上面)には、たとえば石英、 Al Oなどのセラ
2 3 ミックス力もなる絶縁性の遮蔽材 48が設けられている。また、シャワーヘッド部 46には 第 1ガス導入室 50と第 2ガス導入室 52が多段に区画されて設けられており、 2種類の 反応ガスを別々のガス導入室を経由して処理容器 10内の処理空間 10aに導入でき るようになっている。
[0041] 処理容器 10の上面には開口部が設けられ、この開口部に絶縁体の部材 54が揷通 されている。この絶縁部材 54には、プラズマ発生部 16の高周波電源 56に接続され ている導体 58が挿通され、シャワーヘッド部 46の上部に接続されている。高周波電 源 56より所定のパワーで出力される高周波が導体 58を介してシャワーヘッド部 46に 印加され、シャワーヘッド部 46とサセプタ 10との間に平行平板方式でプラズマを生 成するための高周波電界が形成されるようになって!/、る。
[0042] この ALD装置におけるガス供給部 14は、処理ガス A、処理ガス Bおよびパージガ ス毎に個別のガス供給源を有している。ここで、処理ガス Aは導電膜の原料を化合物 として含む原料ガスからなり、処理ガス Bは処理ガス Aの金属化合物を還元するため の還元ガスからなり、パージガスは希ガスまたは不活性ガスからなる。一例として、 Cu 拡散防止膜に使用する Ta膜を成膜する場合、処理ガス Aは気化した TaClとキヤリ
5 ァガスたとえば Arガスとの混合ガスであり、還元ガスは Hガスであり、パージガスは A
2
rガスである。
[0043] 処理ガス Aのガス供給源は、第 1ガス供給ライン 12aを介してシャワーヘッド部 46の 第 1ガス導入室 50に接続される。第 1ガス供給ライン 12aの途中には開閉バルブ 60a 、第 1流量調節器 (MFC) 62aおよび開閉バルブ 64aが設けられる。一方、処理ガス Bのガス供給源とパージガスのガス供給源は第 2ガス供給ライン 12bを介してシャヮ 一ヘッド部 46の第 2ガス導入室 52に接続される。第 2ガス供給ライン 12bの途中には 開閉バルブ 60b, 60c、第 2流量調節器 (MFC) 62bおよび開閉バルブ 64bが設けら れる。
[0044] この ALD装置でも、基本装置(図 1)と同様に主制御部 36 (図 4では図示省略)が 装置全体および各部を統括制御する。その際、主制御部 36は、レシピ表(図 5)を通 して設定入力されたプロセス条件をソフトウェアに組み込んで動作する。図 5のレシピ 表では、プロセス条件の中で本発明と特に関係のある項目のみについて設定値を示 している。
[0045] この ALD装置では、所要の導電膜 (たとえば Ta膜)を形成するために基本手順(図 2)と同様の手順または処理シーケンスを用いることができる。この場合、図 5のレシピ 表と図 2の手順とは次のように対応する。すなわち、プリヒート期間において、 Stepl がステップ S2に、 Step2がステップ S3にそれぞれ対応する。また、 ALDサイクルで ίま、 Step3力 Sステップ S4に、 Step4力 Sステップ S5に、 Step5力 Sステップ S6 (S6A, S6 B, S6C)〖こ、 Step6がステップ S7にそれぞれ対応する。以下、図 5のレシピ表の内 容に沿ってこの ALD装置の機能ないし作用を説明する。
[0046] 図 5のレシピ表では、各々の Stepl〜Step6毎に所要時間 Tや処理容器 10に供給 するガスの種類、流量等が設定入力される。図示の例の場合、 Steplでは、任意の 設定時間 T1 (たとえば 60秒)内に処理ガス Bを APCモードで供給することが指示さ れている。装置側は、上記したように、この APC動作の間に圧力制御部 24において 自動的にバルブ開度検出部 32とバルブ開度同定部 34が動作してコンダクタンスバ ルブ 22のバルブ開度をモニタリングすることになる。
[0047] Step2は、プリヒート期間の終了間際の一定時間たとえば 1 (秒)に組み込まれてお り、圧力制御モードとして「: HOLD」を指示している。装置側は、 Step2の開始のタイ ミングで、主制御部 36からバルブ開度同定部 34に制御信号 CKを与えてバルブ開 度の基準値 mを決定または同定して、圧力制御のモードを APC力もバルブ開度 HO
LDに切り換えることになる。
[0048] ALDサイクルにおいても、レシピ表(図 5)では、すべての Step3〜Step6で圧力制 御モードが「HOLD」と指示される。このレシピにしたがって、装置側は ALD成膜処 理時間を通じて圧力制御モードをバルブ開度 HOLDに固定することになる。なお、 S tep3では、処理容器 10に送り込むガスとして本来の処理ガス Aに加えて処理ガス B も指定している。 PEALDでは、プラズマをオンにしない限り、処理ガス B (還元ガス) は(還元)作用を行わず、処理ガス Aの吸着作用に影響を及ぼさないので、処理ガス Aに処理ガス Bが混じっても何等支障はない。また、 Step5に入る前力 処理ガス Bを 処理容器 10内に送り込んでおく方が、プラズマを安定確実に点火できる利点もある。 その点では、 Step4のパージングでも、パージガス(Arガス)と一緒に処理ガス B (還 元ガス)を処理容器 10内に送り込むのも好ましい。
[0049] 導電膜の PEALDの場合は、処理ガス A (原料ガス)の流量は処理ガス B (還元ガス )の流量に比して格段に少ないため、処理ガス B (還元ガス)の流量を基準とすること ができる。したがって、上記のようにプリヒート期間中に APCモードでコンダクタンスバ ルブ 22のバルブ開度を同定するに際しては、 ALDサイクル時と同等のガス負荷で 処理ガス B (還元ガス)を処理容器 10に送り込んでよい。もっとも、不活性ガスを適宜 使用または混合することも可能である。
Step5では、処理ガス B (Hガス)のプラズマ励起が行われる。 Hガスからプラズマ
2 2
励起によって H+ZH* (水素イオンと水素ラジカル)が生成される。これらの反応種( H+/H*)が半導体ウェハ Wの表面に原子層レベルで吸着して 、る導電体化合物を 還元して 1原子層分の膜を形成させる。本発明のバルブ開度ホールド機能により、排 気コンダクタンスが一定に保持され、それによつて処理容器 10内の圧力が安定に維 持されるので、処理ガス B (Hガス)のプラズマを安定確実に点火させることができる。
2
Step6のパージングでは、余った処理ガス B (還元ガス)を排出するので、処理容器 1 0にはパージガス(Arガス)のみを送り込んでよい。なお、 Step3〜Step6の各処理 時間 T〜Tは通常 3〜5秒位に選定される。
1 6
[0050] 上記した実施形態は、 ALD成膜処理時間を通じて圧力制御部 24にバルブ開度ホ 一ルドの圧力制御を持続させるようにした。しかし、パージング中は、コンダクタンスバ ルブ 22のバルブ開度を処理ガス A, Βの圧力に合わせる必要はなぐむしろパージ ングの目的力もすれば、できるだけ大きなバルブ開度 (理想的には全開)に切り換え た方がパージガス使用効率や時間効率等の面で好都合である。本発明によれば、 上記実施形態に一部変形を加えることで、そのような要求に応えることができる。この 変形例を図 6のレシピ表と図 7のフローチャートで示す。
[0051] この場合のレシピ表(図 6)では、 ALDサイクルの処理ガス A, Βをそれぞれ供給す る Step3, 5で「ReHOLD」を指示すればよい。ここで、 「ReHOLD」は、バルブ開度 HOLDモードに再度切り換えること、つまりコンダクタンスバルブ 22のバルブ開度を 再度基準値 mに保持することを意味する。また、パージングの Step3, 5では「Angle s
90」を指示すればよい。この「Angle90」は、コンダクタンスバルブ 22のバルブ開度 を 90° (全開)に保持することを意味する。
[0052] 動作手順(図 7)では、基本手順(図 2)に「バルブ全開」のステップ Sa, Scと「バルブ 開度再 HOLD」のステップ Sb, Sdが追加される。これにより、処理ガス Aを供給する ステップ S4 (Step3)の直後に、ステップ Saでコンダクタンスバルブ 22のバルブ開度 がそれまでの基準値 m力 最大値(90° )に切り換えられ、次のステップ S5 (Step4) s では最大の排気速度でパージングが行われる。そして、処理ガス Bを供給するステツ プ S6 (Step5)の直前にステップ Sbでコンダクタンスバルブ 22のバルブ開度がそれ までの最大値(90° )から基準値 mに切り換えられる。処理ガス Bを供給した後も同様
s
であり、コンダクタンスバルブ 22のバルブ開度がパージング(S7, Step6)直前にステ ップ Scで最大値(90° )に切り換えられ、パージング(S7, Step6)直後にステップ Sd で基準値 mに戻される。なお、レシピ表(図 6)で「Angle80」と指定することで、パー
s
ジング中のコンダクタンスバルブ 22のバルブ開度を 80°に固定することができる。
[0053] 上記した実施形態は PEALDに係るものであった力 本発明はプラズマを利用しな い ALD法にも適用可能である。その場合は、 ALDサイクルの各ステップで処理ガス A, Bが気相中で反応しないように処理ガス A, Bの同時供給を避ける必要があり、ま た処理ガス A, B供給時の排気コンダクタンスを独立に制御するのが好ま 、。
[0054] 本発明によれば、図 8のレシピ表および図 9のフローチャートに示すように、プリヒー ト期間中に処理容器に処理ガス A, Bを順次入れ替えて供給し、それぞれ ALDサイ クル時と同等のガス負荷をかけて APC動作を行ったときのコンダクタンスバルブのバ ルブ開度を同定し、処理ガス A, B毎に個別の基準値 m , m を取得することができ
sl s2
る。そして、正規の ALDサイクルにおいて、処理容器に処理ガス Aを供給するステツ プ S4 (Step3)の時にはステップ S3, S3,で圧力制御をバルブ開度 HOLD1のモー ドに切り換えてコンダクタンスバルブのバルブ開度を基準値 m に保持し、処理容器
sl
に処理ガス Bを供給するステップ S 6 (Step5)の時にはステップ S3'で圧力制御をバ ルブ開度 HOLD2のモードに切り換えてコンダクタンスバルブのバルブ開度を基準 値 m に保持するようにすることができる。
[0055] 他にも、本発明の技術思想の範囲内で種々の変形が可能である。たとえば、本発 明は、上記のように ALDに特に有利に適用されるものである力 処理ガスが不連続 的あるいは断続的に処理容器に供給されるために処理容器内の圧力が短時間で大 きく変動するような任意の成膜技術に適用可能である。したがって、たとえば、処理容 器内に処理ガス Aを送り込むステップと処理ガス Bを送り込むステップとの間にパージ ング以外のステップを挿入する方式や、何のステップも挿入せずに連続させる方式 等にも本発明を適用することができる。 [0056] また、本発明における被処理基板は半導体ウェハに限定されるものではなぐたと えばフラットディスプレイパネル用のガラス基板等であってもよい。
産業上の利用可能性
[0057] 本発明の薄膜形成方法または薄膜形成装置によれば、上記のような構成と作用に より、処理容器内の雰囲気の時間的な変動要素に左右されずに安定した圧力を形 成できるとともに、設置条件や経年変化等に対してプロセスレシピの内容を変更する ことなくプロセス再現性を保証できる。

Claims

請求の範囲
[1] 減圧可能な処理容器と真空ポンプとを結ぶ排気路にコンダクタンスバルブを設け、 前記処理容器内に被処理基板を配置して、成膜処理時間中に第 1の反応ガスを供 給する第 1のステップと第 2の反応ガスを供給する第 2のステップとを含むサイクルを 1 回または複数回繰り返して、前記基板上に前記第 1の反応ガスと前記第 2の反応ガ スとの化学反応を利用して膜を形成する薄膜形成方法であって、
前記成膜処理時間の開始に先立つ準備時間中に、前記処理容器内を排気しなが ら所望のガスを設定流量で前記処理容器内に供給し、前記処理容器内の圧力が設 定値にほぼ一致するときの前記コンダクタンスバルブのバルブ開度を同定して基準 値とする第 1の工程と、
前記成膜処理時間中の各々の前記サイクルにお 、て少なくとも前記第 1および第 2 のステップ中は前記コンダクタンスノ レブのバルブ開度を前記基準値に保持する第 2の工程と
を有する薄膜形成方法。
[2] 1サイクル内で前記第 1の反応ガスと前記第 2の反応ガスとの化学反応により前記 基板上で 1原子または 1分子の層を成長させ、サイクルの繰り返し回数に応じた膜厚 の薄膜を前記基板上に形成する請求項 1に記載の薄膜形成方法。
[3] 前記準備時間が、前記処理容器に前記基板が入っていない時間帯に設定される 請求項 1に記載の薄膜形成方法。
[4] 前記準備時間が、前記処理容器に前記基板が搬入された後の時間帯に設定され る請求項 1に記載の薄膜形成方法。
[5] 前記準備時間中に、前記第 1および第 2の反応ガスの少なくとも一方を含む圧力調 整用のガスを前記成膜処理時と同等のガス流量で前記処理容器内に供給する請求 項 1に記載の薄膜形成方法。
[6] 前記第 1の反応ガスが前記薄膜の原料をィ匕合物として含む原料ガスであり、前記 第 2のガスが前記化合物を還元する還元ガスである請求項 5に記載の薄膜形成方法
[7] 前記第 2の反応ガスを前記処理容器内でプラズマ励起してラジカルおよび Zまた はイオンを生成する請求項 6に記載の薄膜形成方法。
[8] 各々の前記サイクルが、前記第 1のステップの直後に余分な前記第 1の反応ガスを 前記処理容器力 排出する第 3のステップを含む請求項 1に記載の薄膜形成方法。
[9] 前記第 3のステップで不活性ガスを含むパージガスを前記処理容器内に供給する 請求項 8に記載の薄膜形成方法。
[10] 前記第 3のステップ中も前記コンダクタンスバルブのバルブ開度を前記基準値に保 持する請求項 8に記載の薄膜形成方法。
[11] 前記第 3のステップ中は前記コンダクタンスバルブのバルブ開度を最大値付近に切 り換える請求項 8に記載の薄膜形成方法。
[12] 各々の前記サイクルが、前記第 2のステップの直後に余分な前記第 2の反応ガスを 前記処理容器力 排出する第 4のステップを含む請求項 1に記載の薄膜形成方法。
[13] 前記第 4のステップで不活性ガスを含むパージガスを前記処理容器内に供給する 請求項 12に記載の薄膜形成方法。
[14] 前記第 4のステップ中も前記コンダクタンスバルブのバルブ開度を前記基準値に保 持する請求項 12に記載の薄膜形成方法。
[15] 前記第 4のステップ中は前記コンダクタンスバルブのバルブ開度を最大値付近に切 り換える請求項 12に記載の薄膜形成方法。
[16] 前記第 1の工程が、前記処理容器内の圧力の検出値が前記設定圧力に一致する ように圧力フィードバック方式で前記コンダクタンスバルブのノ レブ開度を可変制御 する第 3の工程と、前記第 3の工程中に前記コンダクタンスバルブのバルブ開度を測 定する第 4の工程とを有する請求項 1に記載の薄膜形成方法。
[17] 前記第 4の工程が前記準備期間の終了間際に行われる請求項 16に記載の薄膜形 成方法。
[18] 前記第 4の工程が、前記コンダクタンスバルブのバルブ開度の瞬時値を一定の時 間間隔でサンプリングし、 n個(nは自然数)のサンプル値の平均をとる第 5の工程を 含む請求項 16に記載の薄膜形成方法。
[19] 前記第 5の工程が、前記一定の時間間隔毎に新たなサンプル値をそれまでの連続 する n個(nは自然数)のサンプル値に加えると同時にそれら n+ 1個の中で最も古!ヽ サンプル値を除外する第 6の工程と、前記第 6の工程における入れ替え後の連続す る n個のサンプル値について相加平均を求める第 7の工程とを有する請求項 18に記 載の薄膜形成方法。
[20] 前記第 2の工程が、
前記コンダクタンスバルブのバルブ開度を検出する第 8の工程と、
前記バルブ開度の検出値を前記基準値と比較して比較誤差を求める第 9の工程と 前記比較誤差に応じて前記コンダクタンスバルブのバルブ開度を可変制御する第 10の工程と
を有する請求項 1に記載の薄膜形成方法。
[21] 前記準備期間中に前記基板を設定温度まで加熱し、前記成膜処理時間中も前記 基板の温度を前記設定温度に保つ請求項 4に記載の薄膜形成方法。
[22] 前記第 1の工程で、前記処理容器に少なくとも前記第 1の反応ガスを含む第 1の圧 力調整用ガスを供給して前記処理容器内の圧力が第 1の設定値にほぼ一致するとき の前記コンダクタンスバルブのノ レブ開度を同定して第 1の基準値とするとともに、前 記処理容器に少なくとも前記第 2の反応ガスを含む第 2の圧力調整用ガスを供給し て前記処理容器内の圧力が第 2の設定値にほぼ一致するときの前記コンダクタンス バルブのバルブ開度を同定して第 2の基準値とし、
前記第 2の工程で、前記第 1のステップ中は前記コンダクタンスバルブのバルブ開 度を前記第 1の基準値に保持し、前記第 2のステップ中は前記コンダクタンスバルブ のバルブ開度を前記第 2の基準値に保持する請求項 1に記載の薄膜形成方法。
[23] 減圧可能な処理容器と真空ポンプとを結ぶ排気路にコンダクタンスバルブを設け、 前記処理容器内に被処理基板を配置して、成膜処理時間中に第 1の反応ガスを供 給するステップと第 2の反応ガスを供給するステップとを含むサイクルを 1回または複 数回繰り返して、前記基板上に前記第 1の反応ガスと前記第 2の反応ガスとの化学反 応を利用して膜を形成する薄膜形成装置であって、
前記処理容器内の圧力を設定値に一致させるように圧力フィードバック方式で前記 コンダクタンスバルブのバルブ開度を可変制御するための自動圧力制御部と、 前記処理容器内の圧力が設定値にほぼ一致するときの前記コンダクタンスバルブ のバルブ開度を同定して基準値とするバルブ開度同定部と、
前記コンダクタンスバルブのバルブ開度を前記基準値に保持するためのバルブ開 度保持部と
を有する薄膜形成装置。
[24] 前記バルブ開度同定部が、
前記自動圧力制御部により前記コンダクタンスバルブのバルブ開度が可変制御さ れて 、る最中に前記コンダクタンスバルブのバルブ開度を検出して一定の時間間隔 でサンプリングするサンプリング部と、
前記サンプリング部より前記一定の時間間隔毎に与えられるサンプル値を先入れ 先出し方式で一時に n個(nは自然数)蓄積する FIFOバッファメモリと、
前記 FIFOバッファメモリに蓄積されて 、る n個のサンプル値につ!、て前記一定の 時間間隔毎に相加平均を求める演算部と、
前記演算部で前記一定の時間間隔毎に得られる相加平均値を所望のタイミングで 取り込んで前記基準値とする基準値決定部と
を有する請求項 23に記載の薄膜形成装置。
[25] 前記バルブ開度保持部が、
前記コンダクタンスバルブのバルブ開度を可変するバルブ駆動部と、
前記コンダクタンスバルブのバルブ開度の瞬時値を検出するバルブ開度検出部と 前記バルブ開度の瞬時値を前記基準値と比較して比較誤差を生成する比較部と、 前記比較誤差に応じて前記バルブ駆動部を制御するバルブ制御部と
を有する請求項 23に記載の薄膜形成装置。
[26] 前記処理容器内で前記第 1および第 2の反応ガスの少なくとも一方をプラズマ状態 にするためのプラズマ発生部を有する請求項 23に記載の薄膜形成装置。
[27] 1サイクル内で前記第 1の反応ガスと前記第 2の反応ガスとの化学反応により前記 基板上で 1原子または 1分子の層を成長させ、サイクルの繰り返し回数に応じた膜厚 の薄膜を前記基板上に形成する請求項 23に記載の薄膜形成装置。
PCT/JP2005/013258 2004-08-06 2005-07-19 薄膜形成方法及び薄膜形成装置 WO2006013720A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/573,272 US7972649B2 (en) 2004-08-06 2005-07-19 Thin film forming method and thin film forming apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004-230492 2004-08-06
JP2004230492A JP4718141B2 (ja) 2004-08-06 2004-08-06 薄膜形成方法及び薄膜形成装置

Publications (1)

Publication Number Publication Date
WO2006013720A1 true WO2006013720A1 (ja) 2006-02-09

Family

ID=35787013

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/013258 WO2006013720A1 (ja) 2004-08-06 2005-07-19 薄膜形成方法及び薄膜形成装置

Country Status (4)

Country Link
US (1) US7972649B2 (ja)
JP (1) JP4718141B2 (ja)
CN (1) CN100523291C (ja)
WO (1) WO2006013720A1 (ja)

Families Citing this family (422)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4355672B2 (ja) * 2005-03-15 2009-11-04 三井造船株式会社 薄膜形成方法
JP4961223B2 (ja) * 2007-01-31 2012-06-27 株式会社日立ハイテクノロジーズ プラズマ処理装置の圧力制御方法
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
WO2010024036A1 (ja) * 2008-08-28 2010-03-04 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置のクリーニング方法
KR101521998B1 (ko) * 2008-09-03 2015-05-21 삼성전자주식회사 상변화막 형성방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5424721B2 (ja) * 2009-06-02 2014-02-26 日立造船株式会社 真空容器のシミュレーション装置
KR101556356B1 (ko) 2009-06-23 2015-10-02 주성엔지니어링(주) 가스 분사 장치 및 이를 구비하는 박막 제조 장치
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
TW201306082A (zh) * 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103046030B (zh) * 2011-10-13 2015-07-29 中国科学院微电子研究所 基于压力测量模块的原子层沉积设备的使用方法
CN103046029B (zh) * 2011-10-13 2015-09-09 中国科学院微电子研究所 基于模拟退火算法的自适应压力控制的原子层沉积设备
CN103046028B (zh) * 2011-10-13 2015-07-29 中国科学院微电子研究所 基于高精度pid控制温度的原子层沉积设备
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5960614B2 (ja) * 2012-03-29 2016-08-02 Ckd株式会社 流体制御システム、流体制御方法
JP5868796B2 (ja) * 2012-07-03 2016-02-24 株式会社堀場エステック 圧力制御装置、流量制御装置、及び、圧力制御装置用プログラム、流量制御装置用プログラム
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9007577B2 (en) * 2012-10-30 2015-04-14 Mustard Tree Instruments, Llc Analytical instrumentation in hazardous environments via static pressurization
JP6080506B2 (ja) * 2012-11-07 2017-02-15 東京エレクトロン株式会社 真空装置、その圧力制御方法及びエッチング方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2014194966A (ja) * 2013-03-28 2014-10-09 Tokyo Electron Ltd 処理方法及び処理装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP5950892B2 (ja) 2013-11-29 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9786524B2 (en) * 2014-04-15 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Developing unit with multi-switch exhaust control for defect reduction
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6494495B2 (ja) * 2015-06-30 2019-04-03 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6678489B2 (ja) * 2016-03-28 2020-04-08 東京エレクトロン株式会社 基板処理装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6689179B2 (ja) * 2016-11-30 2020-04-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN107841730B (zh) * 2017-11-23 2019-09-13 滁州国凯电子科技有限公司 一种延长ald真空计使用寿命的方法
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
KR102076467B1 (ko) * 2017-12-19 2020-02-13 주식회사 테스 박막증착장치
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN108048819B (zh) * 2018-01-10 2019-09-10 德淮半导体有限公司 一种化学气相沉积工艺
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN110878411B (zh) * 2018-09-06 2021-07-27 长鑫存储技术有限公司 一种气相沉积工艺的控制方法、装置、介质及电子设备
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP2020176555A (ja) * 2019-04-18 2020-10-29 株式会社島津製作所 真空ポンプシステム
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
KR20220033428A (ko) * 2020-09-09 2022-03-16 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치 및 프로그램
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220091744A (ko) 2020-12-24 2022-07-01 삼성전자주식회사 파우더 부산물 억제를 위해 흡착제를 포함하는 배기 가스 처리 시스템
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113416944B (zh) * 2021-06-22 2022-04-19 江苏微导纳米科技股份有限公司 镀膜设备及其工作方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115354312B (zh) * 2022-07-29 2023-10-13 北京北方华创微电子装备有限公司 一种特种气体安全控制方法和半导体工艺设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006733A (ja) * 2002-03-26 2004-01-08 Tokyo Electron Ltd 基板処理装置および基板処理方法、高速ロータリバルブ、クリーニング方法
JP2004134466A (ja) * 2002-10-08 2004-04-30 Hitachi Kokusai Electric Inc 基板処埋装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3415207B2 (ja) 1992-07-24 2003-06-09 東京エレクトロン株式会社 化学気相成長による金属薄膜形成方法
JP3355238B2 (ja) 1993-11-16 2002-12-09 株式会社日立国際電気 半導体成膜装置
AU2001277755A1 (en) * 2000-08-11 2002-02-25 Tokyo Electron Limited Device and method for processing substrate
US6627268B1 (en) * 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
AU2003242099A1 (en) * 2002-06-10 2003-12-22 Tokyo Electron Limited Processing device and processing method
JP4280603B2 (ja) * 2003-11-04 2009-06-17 キヤノン株式会社 処理方法
US20050220984A1 (en) * 2004-04-02 2005-10-06 Applied Materials Inc., A Delaware Corporation Method and system for control of processing conditions in plasma processing systems
JP2006032610A (ja) * 2004-07-15 2006-02-02 Tokyo Electron Ltd 成膜装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006733A (ja) * 2002-03-26 2004-01-08 Tokyo Electron Ltd 基板処理装置および基板処理方法、高速ロータリバルブ、クリーニング方法
JP2004134466A (ja) * 2002-10-08 2004-04-30 Hitachi Kokusai Electric Inc 基板処埋装置

Also Published As

Publication number Publication date
CN100523291C (zh) 2009-08-05
JP2006045640A (ja) 2006-02-16
US7972649B2 (en) 2011-07-05
JP4718141B2 (ja) 2011-07-06
CN1993496A (zh) 2007-07-04
US20080050538A1 (en) 2008-02-28

Similar Documents

Publication Publication Date Title
JP4718141B2 (ja) 薄膜形成方法及び薄膜形成装置
JP5264039B2 (ja) 薄膜形成装置及び薄膜形成方法
US8357619B2 (en) Film formation method for forming silicon-containing insulating film
TWI487026B (zh) 氮化矽膜成膜裝置及使用其之方法
US8697578B2 (en) Film formation apparatus and method for using same
US8025931B2 (en) Film formation apparatus for semiconductor process and method for using the same
US10361088B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US8080477B2 (en) Film formation apparatus and method for using same
US9163309B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
WO2004027852A1 (ja) 基板上への絶縁膜の形成方法、半導体装置の製造方法、および基板処理装置
US20040086434A1 (en) Apparatus and method for treating objects with radicals generated from plasma
JP6774972B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US20090114156A1 (en) Film formation apparatus for semiconductor process
JP2011204971A (ja) 基板処理装置及び半導体装置の製造方法
JP2004091850A (ja) 処理装置及び処理方法
JP3077591B2 (ja) Cvd装置及びcvd成膜方法
CN111527591A (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN104078386A (zh) 硅氧化膜的形成方法以及硅氧化膜的形成装置
JP6022785B2 (ja) 半導体装置の製造方法、基板処理装置、及びプログラム
KR100871003B1 (ko) 박막 형성 방법 및 박막 형성 장치
US10541170B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
EP4379775A1 (en) Method for producing group iii-nitride semiconductor
JP2000299313A (ja) 化学蒸着方法及び化学蒸着装置
JPH0237963A (ja) 通電加熱部材
KR20220082078A (ko) 플라즈마 강화 프로세스들에서의 rf 전원 동작

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020077002871

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 11573272

Country of ref document: US

Ref document number: 200580026687.5

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWP Wipo information: published in national office

Ref document number: 1020077002871

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 11573272

Country of ref document: US