WO2005017621A1 - 位相シフトマスクの製造方法 - Google Patents

位相シフトマスクの製造方法 Download PDF

Info

Publication number
WO2005017621A1
WO2005017621A1 PCT/JP2004/011712 JP2004011712W WO2005017621A1 WO 2005017621 A1 WO2005017621 A1 WO 2005017621A1 JP 2004011712 W JP2004011712 W JP 2004011712W WO 2005017621 A1 WO2005017621 A1 WO 2005017621A1
Authority
WO
WIPO (PCT)
Prior art keywords
light
etching
pattern
shielding film
film
Prior art date
Application number
PCT/JP2004/011712
Other languages
English (en)
French (fr)
Inventor
Hideki Suda
Original Assignee
Hoya Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya Corporation filed Critical Hoya Corporation
Priority to US10/567,760 priority Critical patent/US7678509B2/en
Priority to KR1020107002664A priority patent/KR101140027B1/ko
Publication of WO2005017621A1 publication Critical patent/WO2005017621A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/29Rim PSM or outrigger PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Definitions

  • the present invention relates to a photomask used in, for example, a semiconductor device manufacturing process, and more particularly to a method for manufacturing an auxiliary butter-type phase shift mask that enables high-resolution pattern transfer.
  • phase shift mask One of the super-resolution technologies in recent photolithography is the phase shift mask.
  • Various types of phase shift masks have been proposed. Among them, there is an auxiliary pattern type phase shift mask as a phase shift mask for forming an isolated pattern such as a contact hole.
  • the auxiliary pattern type phase shift mask comprises a main opening 22 formed on a transparent substrate 20 by a light-shielding film 21 and an auxiliary opening 2 provided in a peripheral portion thereof. 3 so that the light passing through the main opening 22 and the light passing through the auxiliary opening 23 have a phase difference of approximately 180 degrees, for example, the substrate in the main opening has a predetermined depth. It has an engraved substrate engraved part 24.
  • the auxiliary opening 23 is set to have a fine line width and a fine position so that light passing through the auxiliary opening 23 does not resolve the resist on the substrate to be transferred.
  • Patent Document 1 Japanese Patent Application Laid-Open No. 7-20625
  • a light-shielding film 21 and a first resist film 25 are sequentially formed on a transparent substrate 20 (FIG. 4). (See (1)).
  • the pattern corresponding to the main opening and the auxiliary opening is exposed using, for example, an electron beam exposure apparatus and developed to form a first resist pattern 25a, and the first resist pattern 25a is formed.
  • a light-shielding film pattern 21a including a main opening 22 and an auxiliary opening 23 is formed (FIG. 4).
  • a second resist film 26 is formed on the surface of the substrate obtained above (see FIG. 4 (4)).
  • a pattern corresponding to the main opening is exposed on the second resist film using, for example, an electron beam exposure device, and developed to form a second resist pattern 26a (FIG. 4 (5)) .
  • the substrate is etched using the resist pattern 26a as a mask to form the substrate engraved portion 24 (FIG. 4 (5)).
  • remaining second register strike pattern 26 is peeled off a auxiliary pattern type phase shift mask is completed (FIG. 4 (6)) 0
  • Patent Document 1 since the main opening and the auxiliary opening are close to each other, it is difficult to draw both the main opening and the auxiliary opening on the same resist film by electron beam drawing.
  • Conventional method 2 proposes the following method (hereinafter referred to as Conventional method 2). The method is described with reference to FIG.
  • a light-shielding film 21 and a first resist film 27 are sequentially formed on a transparent substrate 20 (see FIG. 5 (1)).
  • the pattern corresponding to the main opening is exposed using, for example, an electron beam exposure apparatus, and developed to form a first resist pattern 27a, and the first resist pattern 27a is used as a mask to block light.
  • the film is etched to form a light-shielding film pattern 21b including the main opening 22 (see FIG. 5 (2)).
  • the substrate is etched using the first resist pattern 27a and the light-shielding film pattern 21b as a mask to form the substrate engraved portion 24 (see FIG. 5 (2)). After that, the remaining first resist pattern 27a is peeled off (Fig. 5 (3) reference).
  • a second resist film 28 is formed on the surface of the substrate obtained above (see FIG. 5 (4)).
  • a pattern corresponding to the auxiliary opening is exposed on the second resist film 28 using, for example, an electron beam exposure apparatus, and developed to form a second resist pattern 28a.
  • the light-shielding film 21 is etched using the resist pattern as a mask (FIG. 5 (5)). Thereafter, the remaining second resist pattern 28a is peeled off to complete the auxiliary pattern type phase shift mask (FIG. 5 (6)). Disclosure of the invention
  • the conventional method 1 has the following problems.
  • the second resist pattern is formed for digging and etching the substrate in the main opening, but it is difficult to align the second resist pattern (drawing) with the main opening, and a slight displacement occurs.
  • the light-shielding film may be exposed.
  • the shape of the second resist pattern is distorted and the light-shielding property S is exposed.
  • the exposed light-shielding film is damaged, the shape is deteriorated, and the reflectance of the light-shielding film having the surface anti-reflection film is usually reduced in that part.
  • the quality is impaired, such as only changing.
  • the portion indicated by the arrow is the portion where the light-shielding film 21 is damaged.
  • 26a indicates a second resist pattern.
  • the present invention relates to an auxiliary pattern type phase shift that can be manufactured without loss of quality.
  • An object of the present invention is to provide a method for manufacturing a mask.
  • the present invention has the following aspects.
  • a photomask blank in which a light-shielding film, a thin film for forming an etching mask layer, and a first resist film are sequentially formed on a substrate;
  • a first step comprising:
  • the second resist pattern as a mask, etching a part of the transparent substrate to a depth such that the phases of light passing through the main opening and the auxiliary opening differ by a predetermined angle;
  • a second step comprising:
  • a third step comprising:
  • a method for manufacturing a phase shift mask comprising:
  • the light-shielding film is made of a material that can be etched with a fluorine-based etching medium, and the light-shielding film is etched with a fluorine-based etching medium.
  • a method for manufacturing a phase shift mask according to (1) is made of a material that can be etched with a fluorine-based etching medium, and the light-shielding film is etched with a fluorine-based etching medium.
  • FIG. 1 is a schematic cross-sectional view for explaining a manufacturing process of an auxiliary pattern type phase shift mask according to Example 1 of the present invention.
  • FIG. 2 is a schematic cross-sectional view for explaining an embodiment in which a part of the etching mask layer is left in the manufacturing process of the auxiliary pattern type phase shift mask of the present invention.
  • FIG. 3A and 3B are diagrams for explaining the auxiliary pattern type phase shift mask.
  • FIG. 3A is a plan view of the auxiliary pattern type phase shift mask
  • FIG. 3B is a dotted line A portion in FIG. 3A.
  • FIG. 3A is a plan view of the auxiliary pattern type phase shift mask
  • FIG. 3B is a dotted line A portion in FIG. 3A.
  • FIG. 4 is a schematic cross-sectional view for explaining a manufacturing step (conventional method 1) of a conventional auxiliary pattern type phase shift mask.
  • FIG. 5 is a schematic cross-sectional view for explaining a manufacturing step (conventional method 2) of a conventional auxiliary pattern type phase shift mask.
  • FIG. 6 is a schematic cross-sectional view for explaining a problem in a manufacturing process (conventional method 1) of a conventional auxiliary pattern type phase shift mask.
  • BEST MODE FOR CARRYING OUT THE INVENTION is a schematic cross-sectional view for explaining a problem in a manufacturing process (conventional method 1) of a conventional auxiliary pattern type phase shift mask.
  • a light-shielding film pattern including a main opening and an auxiliary opening is formed, and then, in a second step, engraving etching of a transparent substrate is performed.
  • the main opening and the auxiliary opening can be exposed simultaneously, and the alignment accuracy between them can be improved.
  • the processing accuracy of the light-shielding film is improved.
  • the etching mask layer can protect the light-shielding film during the engraving etching of the transparent substrate in the second step, and It is possible to prevent the light-shielding film from being damaged during the engraving etching. That is, the etching mask layer is damaged during the engraving etching of the transparent substrate, and since this etching mask layer is removed in the third step, there is no problem.
  • the light-shielding film is a film having a function of substantially not transmitting exposure light, for example, a light-shielding film made of chromium or a chromium compound, and a function of transmitting exposure light at a desired transmittance.
  • a light semi-transmissive film containing silicon, oxygen, nitrogen, carbon, or the like in a metal for example, a light semi-transmissive film containing silicon, oxygen, nitrogen, carbon, or the like in a metal.
  • a transparent substrate is a glass substrate such as a quartz substrate, a transparent phase shift film such as SiO 2 (including SOG) formed on a glass substrate, and a transparent substrate between the glass substrate and the phase shift film. And those in which another transparent film such as a transparent etching stopper is interposed.
  • FIG. 1 is a manufacturing process diagram of the auxiliary pattern type phase shift mask according to the present embodiment. Hereinafter, this embodiment will be described with reference to FIG.
  • M in the transparent substrate 1 of quartz o S i consists of 2 1 6 film 2, C r thin film 3 for forming the Etsu quenching mask consisting of the first Le resist film 4 made of a positive type electron beam resist They were formed sequentially (see Fig. 1 (1)).
  • pattern data corresponding to the main opening and the auxiliary opening is stored in an electron beam exposure apparatus.
  • the pattern size B of the main opening was 1.0 m
  • the pattern size C of the auxiliary pattern was 0.2 zm.
  • the etching mask layer is etched by dry etching using C12 + 02 using an etching gas, and then the etching gas is changed to CF4 + O2.
  • the light-shielding film was dry-etched to form an etching mask layer 3a including a main opening 5 and an auxiliary opening 6 and a light-shielding film pattern 2a (see FIG. 1 (2)).
  • cleaning was performed (see FIG. 1 (3)).
  • the processing accuracy of the light-shielding film was good.
  • the pattern data corresponding to the main opening and the auxiliary opening is Since drawing was performed using the above electron beam exposure apparatus, highly accurate openings could be formed in both the main opening and the auxiliary opening.
  • the above-mentioned electron beam lithography system has been developed in recent years with the development of electron beam lithography technology such as adoption of a high accelerating voltage and utilization of a proximity effect correction function. Were formed simultaneously (within ⁇ 5 nm) and faithfully with the design values.
  • the main opening and the auxiliary opening are drawn in the same drawing process, the alignment accuracy can be kept within 30 nm by aligning using the same drawing machine. The position accuracy of both was also good.
  • a second resist film 7 made of a positive electron beam resist was formed on the surface of the substrate obtained above (see FIG. 1 (4)).
  • a pattern corresponding to the main opening was exposed on the second resist film 7 using the same electron beam exposure apparatus as described above, and developed to form a second resist pattern 7a.
  • FIG. 1 (5) Next, using the resist pattern 7 as a mask, the transparent substrate 1 was etched by dry etching of CF 4 +02 to form the substrate engraved portion 8.
  • the resist pattern 7a was slightly deviated from the main opening 5, and the etching mask layer 3a was slightly exposed. Further, as the etching progressed, the shape of the resist pattern 7a became loose, the exposed portion of the etching mask layer 3a became large, and damage to the etching mask layer 3a occurred. However, there was no effect on the light-shielding film 2 thereunder.
  • the etching mask layer was removed using an etching solution composed of ceric ammonium nitrate and perchloric acid, and the substrate was washed to complete an auxiliary pattern type phase shift mask (FIG. 1 (7)).
  • each material is used so that the light-shielding film and the transparent substrate have resistance to the etching of the etching mask layer. Therefore, the third step In this case, the etching mask layer can be removed.
  • the present invention is not limited to the above embodiment.
  • the engraving etching of the transparent substrate of the main opening is performed, but the etching of the auxiliary opening may be performed instead of the etching of the main opening.
  • the auxiliary opening since the auxiliary opening has a fine size, it is preferable to etch the main opening from the viewpoint of processing accuracy.
  • the phase is shifted by engraving the glass substrate.
  • a thin film for phase shift formed on the glass substrate may be etched.
  • the materials of the light-shielding film and the etching mask layer are not limited to the above-mentioned materials, and the etching mask layer has resistance when etching the light-shielding film, and can be selectively removed at the end. Can be appropriately selected.
  • a combination of an etching mask layer and a light-shielding film a combination of a material that can be etched with a fluorine-based etching medium and a material that can be etched with a chlorine-based etching medium can be considered.
  • Materials that can be etched with a chlorine-based etching medium include metals such as chromium, tantalum, titanium, aluminum, hafnium, vanadium, zirconia, one or more of these alloys, or oxygen in addition to these metals or alloys. , Nitrogen, carbon, fluorine, etc., and a metal compound containing one or more thereof, or a laminated film thereof.
  • Examples of the material that can be etched with a fluorine-based etching medium include silicide of a high-melting metal, for example, silicide such as molybdenum, tungsten, or tantalum, or one or two of oxygen, nitrogen, carbon, and fluorine. The materials contained above are exemplified.
  • the transparent substrate may be combined with either the etching mask layer or the light-shielding film.
  • the transparent substrate since the glass substrate is etched by the fluorine-based etching medium, the transparent substrate depends on the etching medium used for removing the etching mask layer. If etched, the transparent substrate will be damaged.
  • the etching medium is the case of dry etching
  • dry etching In the case of dry etching gas and etching, it refers to the etching solution.
  • dry etching is preferable from the viewpoint of processing accuracy.
  • wet etching may be used, but if the etching mask is to be partially left as described below and processing accuracy is required for the remaining pattern, dry etching should be selected. it can.
  • the light shielding film may be a light semi-transmissive film.
  • the light translucent film a material containing a metal such as chromium, tantalum, titanium, aluminum, hafnium, vanadium, zirconium, or one or more of these alloys containing oxygen, nitrogen, carbon, fluorine, etc., and a high melting point
  • a metal silicide for example, silicide such as molybdenum, tungsten, or indium.
  • the light semi-transmissive film may be a film in which the phase difference is adjusted to a predetermined angle (for example, approximately 180 degrees).
  • the active film is not limited to a single layer, but may be a layer that exhibits its function in multiple layers. In this case, it can be combined with a known functional layer such as a front and back anti-reflection film.
  • the entire etching mask layer is removed in the third step, but it may be left partially depending on the purpose.
  • the etching mask layer may be a film that substantially blocks the exposure light or a film that substantially blocks the exposure light when laminated with the light-shielding film. It is conceivable that the layer is left in a non-transferred area, an area where a pattern is not formed, an area where a mark is formed, and the like.
  • a third resist pattern 9 is formed on the substrate (FIG. 2 (1)) obtained by completing the third step in the above embodiment.
  • pattern exposure corresponding to the etching mask layer to be left is performed, and a third resist pattern 9a covering the etching mask layer to be developed is formed (FIG. 2 (3)).
  • the etching mask layer 3 is etched using the third resist pattern 9a as a mask (FIG. 2 (3)).
  • the remaining third resist pattern 9a is peeled off and washed to obtain an auxiliary pattern type phase shift mask partially leaving an etching mask layer (FIG. 2 (4)).
  • an auxiliary pattern type phase shift mask can be manufactured without deteriorating quality.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

明 細 書 位相シフ卜マスクの製造方法 技術分野
本発明は、 例えば半導体装置製造工程等に用いられるフォトマスクに関し、 特 に高解像度パ夕―ン転写が可能とされる補助バタ一ン型位相シフトマスクの製造 方法に関する。 背景技術
近年のフォトリソグラフフィ一における超解像技術の 1つに、 位相シフトマス クが挙げられる。 位相シフトマスクには、 様々な種類の位相シフトマスクが提案 されている。 その中で、 コンタクトホール等の孤立パターンを形成するための位 相シフトマスクとして、 補助パターン型位相シフトマスクがある。
図 3 ( a)は補助パターン型位相シフトマスクの平面図、図 3 ( b )は図 3 ( a) の点線 A部分の断面図である。 これらの図に示すように、 補助パターン型位相シ フトマスクは、 透明基板 2 0上に、 遮光性膜 2 1により形成された主開口部 2 2 と、 その周辺部分に設けられた補助開口部 2 3を有し、 主開口部 2 2を通過する 光と補助開口部 2 3を通過する光とが略 1 8 0度の位相差となるように、 例えば 主開口部の基板が所定の深さ彫り込まれた基板彫り込み部 2 4を有している。 尚、 補助開口部 2 3は、 補助開口部 2 3を通過する光が被転写基板上のレジスト を解像しないように、 微細な線幅と形成位置が設定される。
このような補助パターン型位相シフトマスクの製造方法としては、 例えば特開 平 7— 2 0 6 2 5号公報 (以下、 特許文献 1という) に、 次のような方法が記載 されている。
まず、 特許文献 1に記載の発明における従来の補助パターン型位相シフトマス クの製造方法 (以下、 従来方法 1という) について、 図 4を参照しながら説明す る。
透明基板 2 0に遮光性膜 2 1と第 1のレジスト膜 2 5を順次形成する (図 4 (1) 参照)。
次に、 主開口部及び補助開口部に対応するパターンを、 例えば、 電子線露光装 置を用いて露光し、 現像して第 1レジストパターン 25 aを形成し、 該第 1のレ ジストパターン 25 aをマスクに遮光性膜をエッチングすることによって主開口 部 22と補助開口部 23とからなる遮光性膜パターン 21 aを形成する (図 4
(2) 参照)。 その後、 残存した第 1のレジストパターンを剥離する (図 4 (3) 参照)。
次に、上記で得られた基板表面に、第 2のレジスト膜 26を形成する(図 4 (4) 参照)。
前記第 2のレジスト膜に、 主開口部に対応するパターンを、 例えば、 電子線露 光装置を用いて露光し、 現像して第 2のレジストパターン 26 aを形成する (図 4 (5))。 該レジストパターン 26 aをマスクに基板をエッチングすることによ つて基板彫り込み部 24を形成する (図 4 (5))。 その後、 残存した第 2のレジ ストパターン 26 aを剥離して補助パターン型位相シフトマスクが完成する (図 4 (6))0
上記特許文献 1によれば、 主開口部と補助開口部が近接しているため、 電子線 描画によって主開口部と補助開口部との両方を同じレジスト膜に描画することが 困難である。その問題点を解決するために、特許文献 1では、次のような方法(以 下、 従来方法 2という) が提案されている。 その方法を、 図 5を参照しながら説 明する。
透明基板 20に遮光性膜 21と第 1のレジスト膜 27を順次形成する (図 5 (1) 参照)。
次に、 主開口部に対応するパターンを、 例えば、 電子線露光装置を用いて露光 し、 現像して第 1レジストパターン 27 aを形成し、 該第 1のレジストパターン 27 aをマスクに遮光性膜をエッチングすることによって主開口部 22からなる' 遮光性膜パターン 21 bを形成する (図 5 (2) 参照)。
引続き、 第 1のレジストパターン 27 a及び遮光性膜パターン 21 bをマスク に基板をエッチングすることによって基板彫り込み部 24を形成する(図 5 (2) 参照)。 その後、 残存した第 1のレジストパターン 27 aを剥離する (図 5 (3) 参照)。
次に、上記で得られた基板表面に第 2のレジスト膜 2 8を形成する (図 5 (4 ) 参照)。
前記第 2のレジスト膜 2 8に、 補助開口部に対応するパターンを、 例えば、 電 子線露光装置を用いて露光し、現像して第 2のレジストパターン 2 8 aを形成し、 該第 2のレジストパターンをマスクに遮光性膜 2 1をエッチングする(図 5 ( 5 ))。 その後、 残存した第 2のレジストパターン 2 8 aを剥離して補助パターン型位相 シフトマスクが完成する (図 5 ( 6 ))。 発明の開示
ところが、 特許文献 1に記載の従来方法 1に対する問題点、 即ち、 主開口部と 補助開口部が近接しているため、 電子線描画によって主開口部と補助開口部との 両方を同じレジスト膜に描画することが困難であるという問題点は、 近年におけ る電子線露光技術の進歩により解消されつつある。 一方で、 従来方法 2を採用し た場合、 主開口部と補助開口部とを別々の工程で描画するため、 それら開口部同 士を位置精度良く重ねあわせることが困難であるという問題がある。 そのような 観点からは、 従来方法 1の方が好ましいという事実が解明された。
しかしながら、 従来方法 1においては、 次のような問題点があった。 即ち、 主 開口部の基板の掘り込みェッチングのために第 2のレジストパターンを形成する が、 第 2のレジストパターンの形成 (描画) と主開口部と位置合わせが難しく、 若干の位置ずれを起してしまい、 遮光性膜が露出してしまう場合がある。 さらに 第 2のレジストパターンをマスクに基板の掘り込みェツチングを行うと、 第 2の レジストパターンの形状がだれて、 遮光性 S莫が露出してしまう。 そのような状況 の下、 基板の掘り込みエッチングを行うと、 露出した遮光性膜がダメージを受け て、 形状が悪化すると共に、 通常、 表面反射防止膜を有する遮光性膜の反射率が その部分だけ変化してしまう等の品質を損なってしまうという問題があつた。 図 6に、 矢印で表示した部分が、 遮光性膜 2 1のダメージを受けてしまう部分であ る。 同図において 2 6 aは第 2のレジストパターンを示す。
本発明は、 品質を損なわずに製造することができる補助パターン型位相シフト マスクの製造方法を提供することを目的とする。
本発明は、 以下の態様を有する。
(態様 1 ) 透明基板上に遮光性膜を一部除去してなる主開口部と、 前記主開口 部の周辺部分に補助開口部とを有すると共に、 前記主開口部と補助開口部とを通 過する光の位相が所定角度異なるように、 前記透明基板が深さ方向に一部除去さ れた位相シフトマスクの製造方法において、
基板上に、 遮光性膜、 エッチングマスク層を形成するための薄膜、 第 1のレジ スト膜が順次形成されたフォトマスクブランクを準備する工程と、
前記第 1のレジスト膜に、 主開口部及び補助開口部に対応するパターンを露光 し、 現像して第 1のレジストパターンを形成する工程と、
前記第 1のレジストパタ一ンをマスクに、 エッチングマスク層を形成するため の薄膜をエッチングする工程と、
前記エッチングマスク層をマスクに、 遮光性膜をエッチングする工程と、 残存した前記第 1のレジストパターンを剥離する工程と、
を含む第 1工程と、
前記第 1工程において得られた基板上に第 2のレジスト膜を形成する工程と、 前記主開口部及び前記補助開口部の何れか一方に対応するパターンを露光し、 現像して第 2のレジストパターンを形成する工程と、
前記第 2のレジストパターンをマスクに、 前記透明基板の一部を、 前記主開口 部と補助開口部とを通過する光の位相が所定角度異なるような深さにェツチング する工程と、
残存した前記第 2レジストパターンを剥離する工程と、
を含む第 2工程と、
前記第 2工程で得られた基板におけるエッチングマスク層の所望の一部、 又は 全部を除去する工程
を含む第 3工程と、
を有することを特徴とする位相シフトマスクの製造方法。
(態様 2 ) 前記遮光性膜がフッ素系ェッチング媒質によりエツチング可能な材 料とし、 前記遮光性膜をフッ素系エツチング媒質によりエッチングすることを特 徴とする態搽 1の位相シフトマスクの製造方法。 図面の簡単な説明
図 1は、 本発明の実施例 1に係る補助パターン型位相シフ卜マスクの製造工程 を説明するための模式的断面図である。
図 2は、 本発明の補助パターン型位相シフトマスクの製造工程において、 エツ チングマスク層を一部残す態様を説明するための模式的断面図である。
図 3は、補助パターン型位相シフトマスクを説明するための図であり、図 3 ( a) は補助パターン型位相シフトマスクの平面図、 図 3 (b ) は図 3 ( a) の点線 A 部分の断面図である。
図 4は、 従来の補助パターン型位相シフトマスクの製造工程 (従来方法 1 ) を 説明するための模式的断面図である。
図 5は、 従来の補助パターン型位相シフトマスクの製造工程 (従来方法 2 ) を 説明するための模式的断面図である。
図 6は、 従来の補助パターン型位相シフトマスクの製造工程 (従来方法 1 ) の 問題点を説明するための模式的断面図である。 発明を実施するための最良の形態
本発明によれば、 まず、 第 1工程において、 主開口部及び補助開口部からなる 遮光性膜パターンを形成し、 次いで、 第 2工程において透明基板の彫り込みエツ チングを行う。 このため、 第 1工程において主開口部と補助開口部を同時に露光 することができ、 両者の位置合わせ精度が良好となる。 さらに、 遮光性膜のパタ —ニングをエッチングマスク層を用いて行うことから、 遮光性膜の加工精度が良 好となる。 さらに、 該エッチングマスク層の除去を、 最終工程である第 3工程で 行うため、 第 2工程における透明基板の彫り込みエッチングの際にエッチングマ スク層が遮光性膜を保護することができ、 透明基板の彫り込みェツチングの際に 遮光性膜がダメージを受けることを防止することができる。 即ち、 透明基板の彫 り込みエッチングの際にダメージを受けるのが、 エッチングマスク層であり、 こ のエッチングマスク層は第 3工程にて除去されてしまうため、 問題とならない。 尚、 本発明において、 遮光性膜とは、 露光光を実質的に透過しない機能を有す る膜、 例えばクロム又はクロム化合物等からなる遮光膜、 及び、 露光光を所望の 透過率透過させる機能を有する膜、 例えば金属にシリコン、 酸素、 窒素、 炭素等 を含有させた光半透過膜を含むものである。
また、 透明基板とは、 石英基板等のガラス基板、 ガラス基板上に S i 0 2 (S O Gを含む) 等の透明な位相シフト膜を形成したもの、 及び該ガラス基板と位相 シフト膜との間に透明エッチングストッパー等他の透明膜が介在されたものを含 むものである。
[実施例 1 ]
以下、 実施例を用いて本発明を詳細に説明する。
図 1は、本実施例に係る補助パターン型位相シフトマスクの製造工程図である。 以下、 図 1を参照しながら、 本実施例を説明する。
(第 1工程)
石英からなる透明基板 1に M o S i 2からなる 16性膜 2、 C rからなるエツ チングマスクを形成するための薄膜 3、 ポジ型電子線レジストからなる第 1のレ ジスト膜 4を順次形成した (図 1 ( 1 ) 参照)。
次に、 主開口部及び補助開口部に対応するパターンデータを、 電子線露光装置
(日本電子社製 J B X 9 0 0 0 MV) を用いて描画し、 現像して第 1レジストパ ターン 4 aを形成した。 尚、 主開口部のパターン寸法 Bは、 1 . 0 mであり、 補助パターンのパターン寸法 Cは 0 . 2 zmとした。 次いで、 該第 1のレジスト パターン 4 aをマスクにエッチングマスク層をエッチングガスをして C 1 2 + 0 2を用いたドライエッチングにてエッチングを行い、 次に、 エッチングガスを C F 4 + O 2に切り替えて遮光性膜のドライエッチングをおこなって、 主開口部 5 と補助開口部 6とからなるエッチングマスク層 3 a及び遮光性膜パターン 2 aを 形成した (図 1 ( 2 )参照)。その後、残存した第 1のレジストパターン 4 aを剥 離後、 洗浄を施した (図 1 ( 3 ) 参照)。
上記工程において、 遮光性膜のドライエッチングに対し、 エッチングマスク層 が耐性を有するような各々の材料を選択していることから、 遮光性膜の加工精度 が良好であった。 また、 主開口部及び補助開口部に対応するパターンデータを、 上記電子線露光装置を用いて描画したことから、 主開口部、 補助開口部共に高精 度な開口部が形成することができた。 尚、 上記電子線描画装置は、 高加速電圧の 採用、 近接効果補正機能の活用等、 近年の電子線描画技術の発達によって本来で あれば形成不可能であった前記の実施例のようなパターンが同時にしかも設計値 に対して忠実に (± 5 nm以内) で形成することが出来た。 また、 主開口部と補 助開口部の描画を同じ描画工程において行っていることから、 同一の描画機を用 いてァライメントを取ることで重ね合わせ精度を土 3 0 nm以内に収めること が出来き、 両者の位置精度も良好なものであった。
(第 2工程)
次に、 上記で得られた基板表面にポジ型電子線レジストからなる第 2のレジス ト膜 7を形成した (図 1 ( 4) 参照)。
次に、 前記第 2のレジスト膜 7に、 主開口部に対応するパターンを、 上記と同 様の電子線露光装置を用いて露光し、 現像して第 2のレジストパターン 7 aを形 成した (図 1 ( 5 )) o 次に、 該レジストパターン 7をマスクに、 C F 4 + 0 2の ドライエッチングにて透明基板 1をエッチングすることによって基板彫り込み部 8を形成した。
このとき、 レジストパターン 7 aは、 主開口部 5と若干ずれてエッチングマス ク層 3 aが多少露出した。 また、 エッチングが進むに連れてレジストパターン 7 aは、 形状がだれて、 エッチングマスク層 3 aの露出部が大きくなり、 エツチン グマスク層 3 aへのダメージが発生した。 しかし、 その下の遮光性膜 2には何ら 影響がなかった。
その後、 残存した第 2のレジストパターン 7 aを剥離し、 洗浄を施した (図 1 ( 6 ))。
(第 3工程)
次に、 エッチングマスク層を、 硝酸第 2セリウムアンモニゥムと過塩素酸から なるエッチング液を用いて除去し、 洗浄を施し、 補助パターン型位相シフトマス クが完成した (図 1 ( 7 ))。
即ち、 本実施例では、 エッチングマスク層のエッチングに対し、 遮光性膜及び 透明基板が耐性を有するような各々の材料を用いている。 このため、 第 3工程に おいて、 エッチングマスク層の除去が可能となる。
尚、 本発明は上記実施例に限定されるものではない。
上記実施例では、 主開口部の透明基板の彫り込みエッチングを行ったが、 主開 口部のエッチングではなく、 補助開口部のエッチングを行ってもよい。 伹し、 補 助開口部は寸法が微細なため、 加工精度の観点からは、 主開口部のエッチングの 方が好ましい。
また、 上記実施例では、 ガラス基板を彫り込むことによって位相をシフトさせ たが、 ガラス基板上に形成された位相シフト用の薄膜をエッチングするようにし てもよい。
また、 遮光性膜とエッチングマスク層の材料は、 上記材料に限定されるもので はなく、 エッチングマスク層が遮光性膜のエッチングの際に耐性を有し、 最後に 選択的除去が可能な各々の材料を適宜選択することができる。 例えば、 エツチン グマスク層と遮光性膜の組み合せとしては、 フッ素系のエッチング媒質によりェ ツチング可能な材料と塩素系のエッチング媒質によりエッチング可能な材料の組 み合せが考えられる。 塩素系のエッチング媒質によりエッチング可能な材料とし ては、 クロム、 タンタル、 チタン、 アルミニウム、 ハフニウム、 バナジウム、 ジ ルコニゥム、 等の金属又はこれら 1種又は 2種以上の合金、 又はこれら金属又は 合金に酸素、 窒素、 炭素、 フッ素等が 1種又は 2種以上含有された金属化合物、 又はそれらの積層膜を例示することができる。 また、 フッ素系のエッチング媒質 によりエッチング可能な材料としては、 高融点金属のシリサイド、 例えばモリブ デン、 タングステン、 又はタンタル等のシリサイド、 又はそれらに酸素、 窒素、 炭素、 フッ素等が 1種又は 2種以上含有された材料が例示される。 これらの材料 系をエツチングマスク層と遮光性膜の何れに組み合せてもよいが、 ガラス基板は フッ素系エッチング媒質によりエッチングされるため、 エッチングマスク層の除 去の際に用いるエッチング媒質により透明基板がエッチングされてしまうと、 透 明基板にダメージを与えてしまう。その点を考慮すると、エッチングマスク層に、 フッ素系エッチング媒質に耐性を有する塩素系エッチング媒質にてエッチング可 能な材料とし、 遮光性膜にフッ素系エッチング媒質にてエッチング可能な材料を 選択することが好ましい。 尚、 エッチング媒質とは、 ドライエッチングの場合は ドライエッチングガス、 ゥエツトエツチングの場合はェッチング液を指す。 尚、 主開口部と補助開口部のエッチングにおいては、 加工精度の観点からドラ ィエッチングが好ましい。 エッチングマスクの除去の際には、 ウエットエツチン グでもよいが、 後述のようにエッチングマスクを一部残す場合で、 該残しパター ンに加工精度が要求される場合はドライエッチングを選択することができる。 また、遮光性膜は、光半透過膜であってもよい。光半透過膜としては、クロム、 タンタル、 チタン、 アルミニウム、 ハフニウム、 バナジウム、 ジルコニウム、 等 の金属又はこれら 1種又は 2種以上の合金に酸素、 窒素、 炭素、 フッ素等を含有 した材料、 高融点金属のシリサイド、 例えばモリブデン、 タングステン、 又は夕 ンタル等のシリサイドに酸素、 窒素、 炭素、 フッ素等が 1種又は 2種以上含有さ れた材料が例示される。 さらに、 この光半透過膜は、 位相差が所定角度 (例えば 略 1 8 0度) に調整された膜であってもよい。
さらにまた、 性膜は単層に限らず、 複数層にてその機能を発揮するもので あればよい。 この場合、 表裏の反射防止膜等の公知の機能層と組み合わせること ができる。
また、 上記実施例においては、 第 3工程においてエッチングマスク層を全て除 去したが、 目的に応じて一部残すようにしてもよい。 例えば、 遮光性膜が光半透 過膜である場合、 エッチングマスク層を実質的に露光光を遮光する膜、 あるいは 遮光性膜との積層において実質的に露光光を遮光する膜とし、 エッチングマスク 層を、 非転写領域、 パターンが形成されていない領域、 マークが形成される領域 などに残すことが考えられる。
その場合は、 図 2に示されるように、 上記実施例において第 3工程が終了して 得られた基板(図 2 ( 1 )) に対し、第 3のレジストパターン 9を形成する。 次い で、 残したいエッチングマスク層に対応するパターン露光を施し、 現像して残し たいエッチングマスク層を覆う第 3のレジストパターン 9 aを形成する (図 2 ( 3 ))。 前記第 3のレジストパターン 9 aをマスクにエッチングマスク層 3をェ ツチングする (図 2 ( 3 ) )。 最後に残存した第 3のレジストパターン 9 aを剥離 して洗浄し、 エッチングマスク層が一部残った補助パターン型位相シフトマスク を得る (図 2 ( 4))。 以上説明したように、 本発明によれば、 品質を損なわずに、 補助パターン型位 相シフトマスクを製造することが可能となった。

Claims

請 求 の 範 囲
1 . 透明基板上に遮光性膜を一部除去してなる主開口部と、 前記主開口部の 周辺部分に補助開口部とを有すると共に、 前記主開口部と補助開口部とを通過す る光の位相が所定角度異なるように、 前記透明基板が深さ方向に一部除去された 位相シフトマスクの製造方法において、
基板上に、 遮光性膜、 エッチングマスク層を形成するための薄膜、 第 1のレジ スト膜が順次形成されたフォトマスクブランクを準備する工程と、
前記第 1のレジスト膜に、 主開口部及び補助開口部に対応するパターンを露光 し、 現像して第 1のレジストパターンを形成する工程と、
前記第 1のレジストパターンをマスクに、 エッチングマスク層を形成するため の薄膜をエッチングする工程と、
前記ェツチングマスク層をマスクに、 遮光性膜をエツチングする工程と、 残存した前記第 1のレジス卜パターンを剥離する工程と、
を含む第 1工程と、
前記第 1工程において得られた基板上に第 2のレジスト膜を形成する工程と、 前記主開口部及び前記補助開口部の何れか一方に対応するパターンを露光し、 現像して第 2のレジストパターンを形成する工程と、
前記第 2のレジストパターンをマスクに、 前記透明基板の一部を、 前記主開口 部と補助開口部とを通過する光の位相が所定角度異なるような深さにエッチング する工程と、
残存した前記第 2レジストパターンを剥離する工程と、
を含む第 2工程と、
前記第 2工程で得られた基板におけるエッチングマスク層の所望の一部、 又は 全部を除去する工程を含む第 3工程と、
を有することを特徴とする位相シフトマスクの製造方法。
2. 前記遮光性膜がフッ素系エッチング媒質によりエッチング可能な材料と し、 前記遮光性膜をフッ素系エッチング媒質によりエッチングすることを特徴と する請求項 1記載の位相シフトマスクの製造方法。
PCT/JP2004/011712 2003-08-15 2004-08-09 位相シフトマスクの製造方法 WO2005017621A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/567,760 US7678509B2 (en) 2003-08-15 2004-08-09 Method of producing phase shift masks
KR1020107002664A KR101140027B1 (ko) 2003-08-15 2004-08-09 위상 시프트 마스크의 제조방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003293835A JP4443873B2 (ja) 2003-08-15 2003-08-15 位相シフトマスクの製造方法
JP2003-293835 2003-08-15

Publications (1)

Publication Number Publication Date
WO2005017621A1 true WO2005017621A1 (ja) 2005-02-24

Family

ID=34191010

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/011712 WO2005017621A1 (ja) 2003-08-15 2004-08-09 位相シフトマスクの製造方法

Country Status (5)

Country Link
US (1) US7678509B2 (ja)
JP (1) JP4443873B2 (ja)
KR (2) KR101197804B1 (ja)
TW (2) TWI397106B (ja)
WO (1) WO2005017621A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI584058B (zh) * 2011-10-21 2017-05-21 大日本印刷股份有限公司 大型相位移遮罩及大型相位移遮罩之製造方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4923465B2 (ja) * 2005-07-27 2012-04-25 凸版印刷株式会社 極端紫外線露光用マスクブランク、極端紫外線露光用マスク及びその製造方法並びにパターン転写方法
JP4823711B2 (ja) * 2006-02-16 2011-11-24 Hoya株式会社 パターン形成方法及び位相シフトマスクの製造方法
JP4800065B2 (ja) * 2006-02-16 2011-10-26 Hoya株式会社 位相シフトマスクの製造方法
JP4879603B2 (ja) 2006-02-16 2012-02-22 Hoya株式会社 パターン形成方法及び位相シフトマスクの製造方法
JP4764213B2 (ja) * 2006-03-10 2011-08-31 凸版印刷株式会社 レベンソン型位相シフトマスク及びその製造方法
JP4883278B2 (ja) * 2006-03-10 2012-02-22 信越化学工業株式会社 フォトマスクブランク及びフォトマスクの製造方法
JP4509050B2 (ja) 2006-03-10 2010-07-21 信越化学工業株式会社 フォトマスクブランク及びフォトマスク
JP4764214B2 (ja) * 2006-03-10 2011-08-31 凸版印刷株式会社 ハーフトーン型位相シフトマスク及びその製造方法
EP1857876A1 (en) * 2006-05-15 2007-11-21 Advanced Mask Technology Center GmbH & Co. KG Method of forming a phase shift mask
US7883822B2 (en) * 2007-10-17 2011-02-08 Texas Instruments Incorporated Graded lithographic mask
KR100882730B1 (ko) * 2007-11-06 2009-02-06 주식회사 동부하이텍 마스크 제조 방법
WO2009123172A1 (ja) * 2008-03-31 2009-10-08 Hoya株式会社 フォトマスクブランク、フォトマスクおよびフォトマスクブランクの製造方法
KR101076883B1 (ko) * 2009-03-10 2011-10-25 주식회사 하이닉스반도체 개선된 해상도를 가지는 위상전이마스크 및 제조 방법
JP5606028B2 (ja) * 2009-09-11 2014-10-15 Hoya株式会社 フォトマスクブランクおよびフォトマスクの製造方法
KR101656456B1 (ko) 2009-10-30 2016-09-12 삼성전자주식회사 하프톤형 위상반전 블랭크 포토마스크와 하프톤형 위상반전 포토마스크 및 그의 제조방법
JP5479074B2 (ja) 2009-12-21 2014-04-23 Hoya株式会社 光学素子の製造方法、光学素子
JP4697495B2 (ja) * 2010-05-28 2011-06-08 信越化学工業株式会社 フォトマスクブランク及びフォトマスクの製造方法
JP4922441B2 (ja) * 2010-07-29 2012-04-25 株式会社東芝 磁気記録媒体およびその製造方法
JP5820555B2 (ja) * 2011-03-31 2015-11-24 Hoya株式会社 マスクブランク及び位相シフトマスクの製造方法
JP4930736B2 (ja) * 2011-09-21 2012-05-16 信越化学工業株式会社 フォトマスクの製造方法及びフォトマスク
JP4930737B2 (ja) * 2011-09-21 2012-05-16 信越化学工業株式会社 フォトマスクブランク及びバイナリーマスクの製造方法
JP5459880B2 (ja) * 2012-07-20 2014-04-02 Hoya株式会社 マスクブランク及び転写用マスクの製造方法
JP6157832B2 (ja) * 2012-10-12 2017-07-05 Hoya株式会社 電子デバイスの製造方法、表示装置の製造方法、フォトマスクの製造方法、及びフォトマスク
CN104903792B (zh) * 2013-01-15 2019-11-01 Hoya株式会社 掩膜板坯料、相移掩膜板及其制造方法
JP6389375B2 (ja) * 2013-05-23 2018-09-12 Hoya株式会社 マスクブランクおよび転写用マスク並びにそれらの製造方法
JP5719948B2 (ja) * 2014-02-12 2015-05-20 Hoya株式会社 光学素子の製造方法
JP6292581B2 (ja) * 2014-03-30 2018-03-14 Hoya株式会社 マスクブランク、転写用マスクの製造方法及び半導体装置の製造方法
JP5907634B2 (ja) * 2015-03-23 2016-04-26 Hoya株式会社 光学素子の製造方法
US9857679B2 (en) 2015-08-21 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and fabricating the same
KR102205274B1 (ko) * 2015-08-31 2021-01-20 호야 가부시키가이샤 마스크 블랭크, 마스크 블랭크의 제조 방법, 위상 시프트 마스크, 위상 시프트 마스크의 제조 방법, 및 반도체 디바이스의 제조 방법
CN110161799B (zh) * 2018-02-11 2020-08-04 京东方科技集团股份有限公司 一种相移掩模板、阵列基板、其制备方法及显示装置
CN108445707A (zh) * 2018-05-15 2018-08-24 睿力集成电路有限公司 相移掩模板、相移掩模光刻设备以及相移掩模板的制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06175346A (ja) * 1992-12-03 1994-06-24 Hoya Corp 位相シフトマスクの製造方法及び位相シフトマスクブランク
JPH1083066A (ja) * 1996-08-21 1998-03-31 Lg Semicon Co Ltd 位相反転マスクの製造方法
JPH10239827A (ja) * 1997-02-28 1998-09-11 Nec Corp フォトマスク
JPH10319569A (ja) * 1997-05-19 1998-12-04 Toshiba Corp 露光用マスク

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0720625A (ja) 1993-07-06 1995-01-24 Sony Corp 位相シフトマスクの作製方法
KR100322537B1 (ko) * 1999-07-02 2002-03-25 윤종용 블랭크 마스크 및 이를 이용한 위상 반전 마스크 제조방법
TWI259329B (en) * 2003-04-09 2006-08-01 Hoya Corp Method of manufacturing a photomask, and photomask blank
JP4823711B2 (ja) * 2006-02-16 2011-11-24 Hoya株式会社 パターン形成方法及び位相シフトマスクの製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06175346A (ja) * 1992-12-03 1994-06-24 Hoya Corp 位相シフトマスクの製造方法及び位相シフトマスクブランク
JPH1083066A (ja) * 1996-08-21 1998-03-31 Lg Semicon Co Ltd 位相反転マスクの製造方法
JPH10239827A (ja) * 1997-02-28 1998-09-11 Nec Corp フォトマスク
JPH10319569A (ja) * 1997-05-19 1998-12-04 Toshiba Corp 露光用マスク

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI584058B (zh) * 2011-10-21 2017-05-21 大日本印刷股份有限公司 大型相位移遮罩及大型相位移遮罩之製造方法

Also Published As

Publication number Publication date
TW201025420A (en) 2010-07-01
JP2005062571A (ja) 2005-03-10
TWI397106B (zh) 2013-05-21
KR20100029270A (ko) 2010-03-16
US7678509B2 (en) 2010-03-16
KR101197804B1 (ko) 2012-12-24
KR20060055527A (ko) 2006-05-23
US20060292454A1 (en) 2006-12-28
TWI446407B (zh) 2014-07-21
KR101140027B1 (ko) 2012-07-20
TW200507067A (en) 2005-02-16
JP4443873B2 (ja) 2010-03-31

Similar Documents

Publication Publication Date Title
WO2005017621A1 (ja) 位相シフトマスクの製造方法
US8043771B2 (en) Phase shift mask blank and method of manufacturing phase shift mask
JP4619043B2 (ja) 位相シフトマスクの製造方法及びテンプレートの製造方法
US8021806B2 (en) Photomask blank, photomask, and methods of manufacturing the same
EP3373067B1 (en) Photomask blank
JP6642493B2 (ja) ハーフトーン位相シフト型フォトマスクブランク
JP2007292824A (ja) フォトマスクブランク
KR20120044387A (ko) 마스크 블랭크 및 전사 마스크의 제조 방법
TWI228207B (en) Method of forming a rim phase shifting mask and using the rim phase shifting mask to form a semiconductor device
JP3913319B2 (ja) ハーフトーン位相シフトマスクの製造方法
JP4702905B2 (ja) 位相シフトマスクの製造方法
JP4876357B2 (ja) 文字記号部を有する基板とその文字記号部の加工方法
JPH08297357A (ja) エッジ強調型位相シフトマスクの製造方法
JP2000221660A (ja) マスク構造体の製造方法
JP3194410B2 (ja) ハーフトーン型位相シフトマスクの製造方法
JP2005181721A (ja) ハーフトーン位相シフトマスク
JP4702903B2 (ja) 位相シフトマスクの製造方法
JP2005116847A (ja) フォトマスクおよびそのフォトマスクを用いた荷電粒子線露光用マスクの製造方法
JP2745988B2 (ja) フォトマスクの製造方法
JP4872737B2 (ja) 位相シフトマスクの製造方法および位相シフトマスク
JP5316603B2 (ja) 文字記号部を有する基板、及び文字記号部の加工方法
JPH03291654A (ja) フォトマスクの製造方法
JP2003121979A (ja) 位相シフトマスクの製造方法
JP2006053342A (ja) 位相シフトマスクの製造方法と半導体装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020067001823

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2006292454

Country of ref document: US

Ref document number: 10567760

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 1020067001823

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 10567760

Country of ref document: US