WO2004030065A1 - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
WO2004030065A1
WO2004030065A1 PCT/JP2003/012085 JP0312085W WO2004030065A1 WO 2004030065 A1 WO2004030065 A1 WO 2004030065A1 JP 0312085 W JP0312085 W JP 0312085W WO 2004030065 A1 WO2004030065 A1 WO 2004030065A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
processing apparatus
substrate processing
processed
oxide film
Prior art date
Application number
PCT/JP2003/012085
Other languages
English (en)
French (fr)
Inventor
Takahiro Horiguchi
Ryo Kuwajima
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to EP03798443A priority Critical patent/EP1544904A4/en
Priority to US10/529,184 priority patent/US20060057799A1/en
Priority to AU2003266565A priority patent/AU2003266565A1/en
Publication of WO2004030065A1 publication Critical patent/WO2004030065A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Definitions

  • the present invention relates to a substrate processing apparatus, and more particularly to a substrate processing apparatus for performing processing such as film formation on a substrate.
  • gate lengths of less than 0.1 m are becoming possible with advances in miniaturization processes.
  • the operating speed of a semiconductor device improves with miniaturization.However, in such a very miniaturized semiconductor device, the thickness of the gate insulating film is reduced in accordance with the scaling law as the gate length is reduced by the miniaturization. It needs to be reduced.
  • the thickness of the gate insulating film must be set to l to 2 nm or less when a conventional thermal oxide film is used. With a very thin gate insulating film, the problem of increased tunnel current and consequent increase of gate leakage current cannot be avoided.
  • the relative dielectric constant is much larger than that of the thermal oxide film, and therefore, even when the actual film thickness is large, the film thickness when converted to the Si02 film is small.
  • a 1 2O3, Z r O2, H f 02 and further, it is proposed to apply to Z r S i 0 4 or a high-dielectric "charge gate insulating film such as H f S i 0 4.
  • H f S i 0 4 By using such a high dielectric material, it is possible to use a gate insulating film with a physical thickness of about 10 nm even in an ultra-high-speed semiconductor device with a gate length of 0.1 ⁇ m or less.
  • a Ta 2 Os film can be formed by a CVD method using Ta (O C2H5) 5 and O 2 as a gaseous source material.
  • the CVD process is performed in a reduced pressure environment at temperatures of about 480 ° C or higher. That.
  • the formed T a 2 0 5 films are heat treated further in an oxygen atmosphere, the As a result, oxygen vacancies in the film are eliminated, and the film itself crystallizes.
  • the sintered crystallized been T a 2 0 5 films exhibit a large specific ⁇ rate.
  • an extremely thin base oxide film having a thickness of 1 nm or less, preferably 0.8 nm or less is provided between the high-dielectric gate oxide film and the silicon substrate. Is preferably interposed.
  • the base oxide must be very thin; thicker ones offset the effect of using a high-k dielectric as a gut dielectric.
  • a strong and very thin base oxide film must cover the surface of the silicon substrate uniformly, and it is required that no defects such as interface states are formed.
  • a thin gate oxide film is generally formed by rapid thermal oxidation (RTO) treatment of a silicon substrate (for example, see Patent Document 1).
  • FIG. 1 shows a schematic configuration of a high-speed semiconductor device 10 having a high dielectric gate insulating film.
  • a semiconductor device 10 is formed on a silicon substrate 11, and Ta 2 0 5 , A 1 2 O 3 , Z r O2, H f O2, Z r S i 0 4, H f S i 0 4 such as a high dielectric gate insulating film 1 3 is made form a gate on top further the high dielectric Gut insulating film 1 3 Electrodes 14 are formed.
  • the surface portion of the base oxide film layer 12 has a nitrogen content within a range where the flatness of the interface between the silicon substrate 11 and the base oxide film 12 is maintained.
  • the oxynitride film 12 A is doped to form an oxynitride film 12A.
  • the oxynitride film 12 A having a larger relative dielectric constant than the silicon oxide film in the base oxide film 12, the equivalent oxide thickness of the base oxide film 12 can be further reduced. .
  • the thickness of the base oxide film 12 is preferably as thin as possible.
  • the base oxide film 12 uniformly and stably with a thickness of 1 nm or less, for example, 0.8 nm or less, and a thickness of about 0.4 nm corresponding to 2 to 3 atomic layers, It was very difficult than before.
  • the deposited high-dielectric film 13 is crystallized by heat treatment, and the oxygen deficiency is compensated.
  • the thickness of the base oxide film 12 will increase, and the high dielectric gate insulating film 13 must be used.
  • the effective decrease in the effective thickness of the gut insulating film was substantially offset.
  • An object of the present invention is to provide a new and useful substrate processing apparatus that solves the above-mentioned problems.
  • a more specific object of the present invention is to stably form an oxide film having a very thin thickness, typically a thickness of 2 to 3 atomic layers on the surface of a silicon substrate, and further nitrify the oxide film to form an oxynitride film.
  • An object of the present invention is to provide a substrate processing apparatus that can be formed.
  • a more specific object of the present invention is to provide a substrate capable of stably forming an extremely thin oxide film having a thickness of typically 2 to 3 atomic layers on a silicon substrate surface and further stably nitriding the oxide film.
  • An object of the present invention is to provide a cluster type substrate processing system including a processing apparatus.
  • Another object of the present invention is to solve the above-mentioned problems, improve the uniformity of the oxide film, improve the throughput, and prevent contamination. It is an object of the present invention to provide a processed substrate processing apparatus.
  • the substrate to be processed is supported at a position facing the heater unit, and the holding member for holding the substrate to be processed is rotated, so that the temperature distribution of the substrate to be processed is kept uniform, Warpage can be suppressed, and film formation processing of a substrate to be processed can be performed stably and efficiently. Furthermore, by covering the inner wall of the processing vessel with an opaque case made of quartz, it is possible to improve the uniformity of the oxide film, improve throughput, and prevent contamination, and oxidize the inner wall of the processing vessel with ultraviolet light. In addition to preventing the temperature of the processing container from becoming high due to the heat insulation effect, the life of the processing container can be extended.
  • the opaque case is formed to surround the periphery of the substrate to be processed held by the holding member, and the upper case is attached to cover the upper part of the side case. And a lower case attached so as to cover the lower part of the side case, and can be manufactured into an arbitrary shape according to the internal configuration of the processing space.
  • the opaque case has the cylindrical case that covers the outer periphery of the heater section, heat from the heater section is prevented from being transferred to the outer periphery side, and heating of the substrate to be processed is prevented. It can be performed more efficiently.
  • the inside of the processing space can be visually observed from the outside even when the ultraviolet rays are irradiated.
  • the present invention by supporting the holding member in point contact with the lower surface of the substrate to be processed, it becomes possible to heat the substrate to be processed in a floating state. Even if the peripheral portion is heated to a higher temperature and warpage occurs due to a temperature difference, the substrate to be processed can be returned to a horizontal state when the calorie heat temperature becomes uniform.
  • FIG. 1 is a diagram showing a configuration of a semiconductor device having a high dielectric gut insulating film.
  • FIG. 2 is a front view showing the configuration of one embodiment of the substrate processing apparatus according to the present invention.
  • FIG. 3 is a side view showing the configuration of one embodiment of the substrate processing apparatus according to the present invention.
  • FIG. 4 is a cross-sectional view taken along line AA in FIG.
  • FIG. 5 is a front view showing a configuration of a device disposed below the processing container 22.
  • FIG. 6 is a plan view showing a configuration of a device arranged below the processing container 22.
  • FIG. 7 is a side view showing a configuration of a device arranged below the processing container 22.
  • FIG. 8A is a plan view showing the configuration of the exhaust path 32.
  • FIG. 8B is a front view showing the configuration of the exhaust path 32.
  • FIG. 8C is a longitudinal sectional view taken along line BB.
  • FIG. 9 is a side longitudinal sectional view showing the processing container 22 and its peripheral devices in an enlarged manner.
  • FIG. 10 is a plan view of the inside of the processing container 22 with the cover member 82 removed, as viewed from above.
  • FIG. 11 is a plan view of the processing container 22.
  • FIG. 12 is a front view of the processing container 22.
  • FIG. 13 is a bottom view of the processing container 22.
  • FIG. 14 is a longitudinal sectional view taken along line CC in FIG.
  • FIG. 15 is a right side view of the processing container 22.
  • FIG. 16 is a left side view of the processing container 22.
  • FIG. 17 is an enlarged longitudinal sectional view showing the mounting structure of the ultraviolet light sources 86 and 87.
  • FIG. 18 is a longitudinal sectional view showing the configuration of the gas injection nozzle section 93 in an enlarged manner.
  • FIG. 19 is a cross-sectional view showing the configuration of the gas injection nozzle section 93 in an enlarged manner.
  • FIG. 20 is an enlarged front view showing the configuration of the gas injection nozzle unit 93.
  • FIG. 21 is an enlarged longitudinal sectional view showing the configuration of the heater section 24.
  • FIG. 22 is a bottom view showing the heater section 24 in an enlarged manner.
  • FIG. 23 is an enlarged longitudinal sectional view showing a mounting structure of the second inlet 170 and the second outlet 174.
  • FIG. 24 is a longitudinal sectional view showing the mounting structure of the flange 140 in an enlarged manner.
  • FIG. 25 is an enlarged longitudinal sectional view showing the mounting structure at the upper end of the clamp mechanism 190.
  • FIG. 26 shows the configuration of the control system of the SoC heater 114 and the SoC heater 114.
  • FIG. 27A is a plan view showing the configuration of the quartz peruger 112.
  • FIG. 27B is a longitudinal sectional view showing the configuration of the quartz peruger 112.
  • FIG. 28A is a perspective view of the configuration of the quartz peruger 112 seen from above.
  • FIG. 28B is a perspective view of the configuration of the quartz peruger 112 seen from below.
  • FIG. 29 is a system diagram showing a configuration of an exhaust system of the pressure reducing system.
  • FIG. 3OA is a plan view showing the configuration of the holding member 120.
  • FIG. 3OB is a plan view showing the configuration of the holding member 120.
  • FIG. 31 is a vertical cross-sectional view showing the configuration of a rotation drive unit 28 disposed below the heater unit 24.
  • FIG. 32 is a longitudinal cross-sectional view showing the rotation drive unit 28 in an enlarged manner.
  • FIG. 33A is a cross-sectional view showing the configuration of the holder cooling mechanism 234.
  • FIG. 33B is a side view showing the configuration of the holder cooling mechanism 234.
  • FIG. 34 is a cross-sectional view showing the configuration of the rotational position detecting mechanism 232.
  • FIG. 35A is a diagram showing a non-detection state of the rotation position detection mechanism 2 32.
  • FIG. 35B is a diagram showing a detection state of the rotation position detection mechanism 232.
  • FIG. 36A is a waveform diagram showing an output signal S of the light receiving element 2688 of the rotation position detecting mechanism 2 32.
  • FIG. 36B is a waveform diagram of the pulse signal P output from the rotational position determination circuit 270.
  • FIG. 37 is a flowchart for explaining a rotational position control process performed by the control circuit.
  • Fig. 38 is a cross-sectional view of the mounting location of the windows 75, 76 as viewed from above.
  • FIG. 39 is a cross-sectional view showing the window 75 in an enlarged manner.
  • FIG. 40 is a cross-sectional view showing the window 76 in an enlarged manner.
  • FIG. 41A is a plan view showing the configuration of the lower case 102.
  • FIG. 41A is a plan view showing the configuration of the lower case 102.
  • FIG. 41B is a side view showing the configuration of the lower case 102.
  • FIG. 41B is a side view showing the configuration of the lower case 102.
  • FIG. 42A is a plan view showing a configuration of the side case 104.
  • FIG. 42A is a plan view showing a configuration of the side case 104.
  • FIG. 42B is a front view showing the configuration of the side case 104.
  • FIG. FIG. 42C is a rear view showing the configuration of the side case 104. As shown in FIG.
  • FIG. 42D is a left side view showing the configuration of the side case 104. As shown in FIG. 42D
  • FIG. 42E is a right side view showing the configuration of the side case 104. As shown in FIG. 42E
  • FIG. 43A is a bottom view showing the configuration of the upper case 106.
  • FIG. 43A is a bottom view showing the configuration of the upper case 106.
  • FIG. 43B is a side view showing the configuration of the upper case 106.
  • FIG. 44A is a plan view showing the configuration of the cylindrical case 108.
  • FIG. 44A is a plan view showing the configuration of the cylindrical case 108.
  • FIG. 44B is a side longitudinal sectional view showing the configuration of the cylindrical case 108.
  • FIG. 44C is a side view showing the configuration of the cylindrical case 108.
  • FIG. 45 is a longitudinal sectional view showing the lifter mechanism 30 in an enlarged manner.
  • FIG. 46 is a longitudinal sectional view showing the seal structure of the lifter mechanism 30 in an enlarged manner.
  • FIG. 47A is a side view or a plan view showing a case where radical oxidation of the substrate to be processed W is performed using the substrate processing apparatus 20 of FIG.
  • FIG. 47B is a plan view showing the configuration of FIG. 47A.
  • FIG. 48 is a view showing a substrate oxidation treatment step performed by using the substrate processing apparatus 20. '
  • FIG. 49 is a diagram showing a method of measuring a film thickness by XPS used in the present invention.
  • FIG. 50 is another diagram showing a measurement method by XPS used in the present invention.
  • FIG. 51 is a diagram schematically showing a phenomenon of oxidative enzyme growth stoppage observed when an oxide film is formed by the substrate processing apparatus 20.
  • FIG. 52A is a view showing an oxide film forming step 1 on the silicon substrate surface.
  • FIG. 52B is a view showing an oxidation film forming step 2 on the surface of the silicon substrate.
  • FIG. 53 is a diagram showing the leakage current characteristics of the oxide film obtained according to the first embodiment of the present invention.
  • FIG. 54A is a diagram for explaining the cause of the leakage current characteristics of FIG.
  • FIG. 54B is a diagram for explaining the cause of the leak current characteristic of FIG.
  • FIG. 55A is a view showing an oxide film forming step 1 which occurs in the substrate processing apparatus 20.
  • FIG. 55B is a view showing an oxide film forming step 2 which occurs in the substrate processing apparatus 20.
  • FIG. 55C is a diagram showing an oxide film forming step 3 that occurs in the substrate processing apparatus 20.
  • FIG. 56 is a diagram showing a configuration of a remote plasma source used in the substrate processing apparatus 20.
  • FIG. 57 is a diagram comparing characteristics of the RF remote plasma and the microwave plasma.
  • Figure 58 is another diagram comparing the characteristics of RF remote plasma and microwave plasma.
  • FIG. 59A is a side view showing an oxide film nitriding process performed by using the substrate processing apparatus 20.
  • FIG. 59B is a plan view showing nitric treatment of an oxide film performed using the substrate processing apparatus 20.
  • FIG. 60A shows the results obtained by using the remote plasma unit 27 to obtain an oxide film formed on a Si substrate to a thickness of 2.0 nm by thermal oxidation using the substrate processing apparatus 20 under the conditions shown in Table 2.
  • FIG. 6 is a view showing a nitrogen concentration distribution in the oxide film when the film is nitrided.
  • FIG. 6 OB is a diagram showing the relationship between the nitrogen concentration distribution and the oxygen concentration distribution in the same oxide film.
  • FIG. 61 is a diagram showing an outline of XPS used in the present invention.
  • FIG. 62 is a diagram showing the relationship between the nitriding time of an oxide film by remote plasma and the nitrogen concentration in the film.
  • FIG. 63 is a diagram showing the relationship between the nitriding time of the oxide film and the distribution of nitrogen in the film.
  • FIG. 64 is a diagram showing the fluctuation of each oxynitride film formed by nitriding the oxide film for each wafer.
  • FIG. 65 is a diagram showing an increase in the film thickness due to the nitriding treatment of the oxide film according to the present embodiment.
  • FIG. 2 is a front view showing the configuration of one embodiment of the substrate processing apparatus according to the present invention.
  • FIG. 3 is a side view showing the configuration of one embodiment of the substrate processing apparatus according to the present invention.
  • Figure 4 is Figure 2 It is a cross-sectional view along line A-A.
  • the substrate processing apparatus 20 includes, as described later, an ultraviolet light radical oxidation process for a silicon substrate and an oxide film formed by a hot ultraviolet light radical oxidation process.
  • the configuration is such that radical nitriding treatment using high-frequency remote plasma can be performed continuously.
  • the main components of the substrate processing apparatus 20 include a processing container 22 having a processing space defined therein, and a heater unit for heating a substrate (silicon substrate) inserted into the processing container 22 to a predetermined temperature. 24, an ultraviolet irradiation unit 26 mounted on the upper part of the processing vessel 22, a remote plasma unit 27 for supplying nitrogen radicals, a rotation driving unit 28 for rotating the substrate to be processed, and a processing space.
  • a lifter mechanism 30 for raising and lowering the inserted substrate to be processed, an exhaust path 32 for depressurizing the inside of the processing vessel 22, and a gas (a process such as a nitrogen gas or an oxygen gas) inside the processing vessel 22.
  • Gas supply section 34 for supplying gas).
  • the substrate processing apparatus 20 includes a frame 36 for supporting each of the main components.
  • the frame 36 is a three-dimensional combination of steel frames, and has a trapezoidal bottom frame 38 placed on the floor, and a vertical frame 40 standing upright from the rear of the bottom frame 38. 41, an intermediate frame 42 extending horizontally from an intermediate portion of the vertical frame 40, and an upper portion extending horizontally from the upper ends of the vertical frames 40, 41.
  • the frame is composed of four and four.
  • the bottom frame 38 has a cooling water supply unit 46, exhaust valves 48 a and 48 b consisting of solenoid valves, a tapo molecular pump 50, a vacuum line 51, and a power supply unit 5 for an ultraviolet irradiation unit 26. 2.
  • the drive section 13 6 of the lifter mechanism 30 and the gas supply section 34 are mounted.
  • a cable duct 40a through which various cables pass is formed inside the vertical frame 40.
  • an exhaust duct 41 a is formed inside the vertical frame 41.
  • an emergency stop switch 60 is attached to the bracket 58 fixed to the middle part of the vertical frame 40, and the temperature of cooling water is set to the bracket 62 fixed to the middle part of the vertical frame 41. Perform adjustment. Adjuster 64 is installed.
  • the intermediate frame 42 supports the processing container 22, an ultraviolet irradiation section 26, a remote plasma section 27, a rotation drive section 28, a lifter mechanism 30, and a UV lamp controller 57.
  • the upper frame 44 also has a gas box 66 connected to a plurality of gas pipelines 58 drawn from the gas supply unit 34, an ion gauge controller 68, an APC controller 70 for controlling pressure, A TMP controller 72 for controlling the turbo molecular pump 50 is mounted.
  • FIG. 5 is a front view showing the configuration of ⁇ disposed below the processing container 22.
  • FIG. 6 is a plan view showing a configuration of a device disposed below the processing container 22.
  • FIG. 7 is a side view showing the configuration of ⁇ disposed below the processing container 22.
  • 8A is a plan view showing the configuration of the exhaust path 32
  • FIG. 8B is a front view showing the configuration of the exhaust path 32
  • FIG. 8C is a longitudinal sectional view taken along line B-B.
  • an exhaust path 32 for exhausting gas inside the processing container 22 is provided below the rear portion of the processing container 22.
  • the exhaust path 32 is attached so as to communicate with a rectangular exhaust port 74 having a width dimension substantially the same as the width of the processing space formed inside the processing vessel 22. .
  • the exhaust port 74 is formed so as to extend to a length corresponding to the width of the inside of the processing container 22, the gas supplied from the front part 22 a side of the processing container 22 to the inside is formed. As will be described later, the gas passes through the inside of the processing container 22 and flows backward, and is efficiently exhausted to the exhaust passage 32 at a constant flow rate (laminar flow).
  • the exhaust path 32 has a rectangular opening 32 a communicating with the exhaust port 74, and the left and right side surfaces of the opening 32 a face downward.
  • the outlet 32 e is connected to the inlet of the turbo molecular pump 50.
  • the bypass outlet 32 g communicates with the bypass pipe 51 a.
  • the gas discharged from the exhaust port 74 of the processing container 22 has an opening 32 a formed in a rectangular shape by the suction force of the turbo molecular pump 50. , Flows through the tapered portion 32, reaches the bottom portion 32c, and is guided to the turbo-molecular pump 50 via the main exhaust pipe 32d and the discharge port 32e.
  • the discharge pipe 50a of the turbo molecular pump 50 is connected to a vacuum pipe 51 via a valve 48a. Therefore, when the pulp 48a is opened, the gas filled in the processing container 22 is discharged to the vacuum pipe 51 via the turbo molecular pump 50.
  • a bypass pipe 51a is connected to the bypass outlet 32g of the exhaust path 32, and the bypass pipe 51a is connected to the vacuum pipe 51 by opening the valve 48b. Is communicated with. '
  • FIG. 9 is a side longitudinal sectional view showing the processing container 22 and its peripheral devices in an enlarged manner.
  • FIG. 10 is a plan view of the inside of the processing container 22 with the cover member 82 removed, as viewed from above. As shown in FIGS. 9 and 10, the processing container 22 has a configuration in which the upper opening of the chamber 80 is closed by a lid member 82, and the inside becomes a process space (processing space) 84. I have.
  • a supply port 22 g through which gas is supplied is formed in a front part 22 a, and a transfer port 94 is formed in a rear part 22 b.
  • the supply port 22 g is provided with a gas injection nozzle portion 93 force S described later, and the transfer port 94 is connected to a gut valve 96 described later.
  • FIG. 11 is a plan view of the processing container 22.
  • FIG. 12 is a front view of the processing container 22.
  • FIG. 13 is a bottom view of the processing container 22.
  • FIG. 14 is a longitudinal sectional view taken along the line CC in FIG.
  • FIG. 15 is a right side view of the processing container 22.
  • FIG. 16 is a left side view of the processing container 22.
  • the bottom part 2 2 c of the processing container 22 has an opening 73 into which the heater part 24 is inserted, and the above-described rectangular-shaped exhaust port 74. Is set up.
  • the aforementioned exhaust path 32 is connected to the exhaust port 74.
  • the chamber 80 and the lid member 82 are, for example, aluminum alloys processed into the above-mentioned shape by J processing.
  • a first window 75 formed in an elliptical shape is disposed on the left side of the center of the right side surface 22 e, and a second window formed in a circular shape on the right side of the center of the right side surface 22 e. Since the substrate 6 is arranged, the state of the substrate to be processed held in the process space 84 can be directly observed from both directions, so that it is possible to observe the film formation state of the substrate W to be processed and the like. It is advantageous.
  • the windows 75 and 76 are configured so that they can be removed from the processing container 22 when a temperature measuring instrument such as a thermocouple is inserted.
  • a sensor suite 85 for measuring the pressure in the process space 84 is attached to the left side surface 2 d of the processing container 22.
  • the sensor unit 85 is provided with three pressure gauges 85a to 85c having different measurement ranges, and can measure a pressure change in the process space 84 with high accuracy.
  • curved portions 22h formed in an R shape are provided. This curved portion 22h prevents stress concentration and The gas flow injected from the gas injection nozzle portion 93 acts to stabilize the flow.
  • the ultraviolet irradiation section 26 is attached to the upper surface of the lid member 82.
  • two cylindrical ultraviolet light sources (UV lamps) 86 and 87 are arranged at predetermined intervals at a predetermined interval.
  • the ultraviolet light sources 86 and 87 have a characteristic of emitting ultraviolet light having a wavelength of 172 nm, and have a rectangular opening 82 formed in the cover member 82 and extending in the lateral direction. A position where the front half (left half in FIG. 8) of the process space 84 is irradiated with ultraviolet rays so as to face the upper surface of the substrate W to be processed held in the process space 84 via a and 82b. It is provided in.
  • the substrate to be treated is irradiated from the ultraviolet light sources 86 and 87 extending in the shape of
  • the intensity distribution of the ultraviolet light is not uniform and varies depending on the radial position of the substrate to be processed W. One of the distributions decreases toward the outer peripheral side of the substrate to be processed W, and the other decreases toward the inner peripheral side.
  • the ultraviolet light sources 86 and 87 independently form a monotonically changing ultraviolet intensity distribution on the substrate to be processed W, but the direction of change of the ultraviolet intensity distribution with respect to the substrate to be processed W is reversed. ing.
  • the optimum value of the drive power can be obtained by changing the drive output to the ultraviolet light sources 86 and 87 and evaluating the film formation result.
  • the distance between the substrate W to be processed and the center of the cylindrical core of the ultraviolet light sources 86, 87 is set to, for example, 50 to 300 mm, and preferably 100 to 200 mm. About 0 mm is good.
  • FIG. 17 is an enlarged longitudinal sectional view showing the mounting structure of the ultraviolet light sources 86, 87.
  • the ultraviolet light sources 86 and 87 are held at positions facing the bottom opening 26 b of the housing 26 a of the ultraviolet irradiation section 26.
  • the bottom opening 26 b opens at a position facing the upper surface of the substrate W to be processed held in the process space 84, and has a rectangular shape having a lateral width longer than the entire length of the ultraviolet light sources 86, 87. It is formed in.
  • a transparent window 88 formed of transparent quartz is attached to a peripheral portion 26c of the bottom opening 26b.
  • the transparent window 88 transmits ultraviolet light emitted from the ultraviolet light sources 86 and 87 to the process space 84, and has a strength capable of withstanding a pressure difference when the process space 84 is depressurized. .
  • a sealing surface 8 8a is formed in the peripheral edge of the lower surface of the transparent window 8 8 to be in contact with a sealing member (O-ring) 89 mounted in the groove of the peripheral edge 26 c of the bottom opening 26 b. ing.
  • the sealing surface 88a is formed of a coating or black quartz for protecting the sealing member 89. This prevents the material of the seal member 89 from being deteriorated, thereby preventing the deterioration and securing the sealing performance, and also prevents the material of the seal member 89 from entering the process space 84.
  • a stainless steel cover 88 b is in contact with the peripheral edge of the upper surface of the transparent window 88, and by increasing the strength when the transparent window 88 is clamped by the fastening member 91, the pressing force at the time of fastening is increased. This prevents the transparent window 8 8 from being damaged by pressure.
  • the ultraviolet light sources 86 and 87 and the transparent window 88 are provided so as to extend in a direction perpendicular to the flow direction of the gas flow injected from the gas injection nozzle portion 93.
  • the present invention is not limited to this.
  • the ultraviolet light sources 86 and 87 and the transparent window 88 may be provided in a direction extending in the gas flow direction.
  • the processing vessel 22 is provided with a gas inlet that injects nitrogen gas or oxygen gas into the process space 84 through a supply port 22 g opening to the front part 22 a.
  • An injection nozzle portion 93 is provided.
  • the gas injection nozzle 93 has a plurality of injection ports 93a arranged in a row in the width direction of the process space 84, as will be described later.
  • a stable flow is generated inside the process space 84 so that the generated gas passes through the surface of the substrate W to be processed in a laminar flow state.
  • the distance between the lower surface of the lid member 82 for closing the process space 84 and the substrate to be processed is set, for example, to 5 to 10 O mm, and preferably about 25 to 85 mm. ,.
  • the heater section 24 includes a base 110 made of an aluminum alloy, a transparent quartz peruger 1 12 fixed on the base 110, and a quartz belt jar. Mounted on the top of the SiC heater 1 14 housed in the internal space 1 1 3 of 1 1 2, the heat reflecting member (reflector) 1 16 made of opaque quartz, and the quartz perg 1 1 2 And a SiC susceptor (heating member) 118 heated by the SiC heater 114.
  • the SiC heater 114 and the heat reflecting member 116 are isolated in the internal space 113 of the quartz belger 112, and contamination in the process space 84 is prevented.
  • the cleaning step only the SiC susceptor 118 exposed in the process space 84 needs to be cleaned, so that the trouble of cleaning the SiC heater 114 and the heat reflecting member 116 is eliminated. It can be omitted.
  • the substrate to be processed W is held by the holding member 120 so as to face above the SoC susceptor 118.
  • the SiC heater 114 is mounted on the upper surface of the heat reflecting member 116, and the heat generated by the SiC heater 114 is held by the SiC susceptor 118 and reflected by the heat reflecting member 116.
  • the heat is also applied to the SiC susceptor 118.
  • the SiC heater 114 of this embodiment is approximately 700 when separated from the SiC susceptor 118 by a small force. Heated.
  • the SiC susceptor 118 Since the SiC susceptor 118 has good thermal conductivity, the heat from the SiC susceptor 114 is efficiently transmitted to the substrate to be processed w to eliminate the temperature difference between the peripheral portion and the center portion of the substrate to be processed w. Thus, the target substrate W is prevented from warping due to a temperature difference.
  • the rotation driving unit 28 includes a holding member 120 that holds the substrate W to be processed above the SiC susceptor 118, a casing 122 fixed to the lower surface of the base 110, A motor 128 for rotationally driving a ceramic shaft 126 coupled to the shaft 120 d of the holding member 120 in an internal space 124 defined by the casing 122; and a magnet coupling 130 for transmitting the rotation of the motor 128. It is composed of
  • the shaft 120d of the holding member 120 penetrates the quartz veneer 112 and is coupled to the ceramic shaft 126, and the magnetic coupling 130 is provided between the ceramic shaft 126 and the rotation shaft of the motor 128. Since the driving force is transmitted in a non-contact manner via the motor, the configuration of the rotary drive system is compact, which also contributes to the miniaturization of the entire device.
  • the holding member 120 has arms 120a to 120c extending radially in the horizontal direction (at intervals of 120 degrees in the circumferential direction) from the upper end of the shaft 120d.
  • the substrate to be processed W is held in a state of being placed on the arm parts 120 a to 120 c of the holding member 120.
  • the substrate to be treated held in this manner; 3 ⁇ 4W is rotated at a constant rotation speed by the motor 128 together with the holding member 120, thereby averaging the temperature distribution due to the heat generated by the SiC heater 114,
  • the intensity distribution of the ultraviolet light emitted from the ultraviolet light sources 86 and 87 becomes uniform, and a uniform film is formed on the surface.
  • -[Structure of lifter mechanism 30] As shown in FIGS.
  • the lifter mechanism 30 is provided below the champer 80 and on the side of the quartz peruger 112, and is provided with a lifting arm 132 inserted into the chamber 80 and a lifting arm 132. It comprises an elevating shaft 134 connected to the arm 132 and a drive unit 136 for elevating the elevating shaft 134.
  • the elevating arm 132 is made of, for example, ceramic or quartz. As shown in FIG. 10, the elevating arm 132 surrounds a coupling portion 132 a to which the upper end of the elevating shaft 134 is coupled, and an outer periphery of the SiC susceptor 118. And an annular portion 132b.
  • the elevating arm 132 is provided with three contact pins 138a to l38c extending from the inner periphery of the annular portion 132b to the center at 120-degree intervals in the circumferential direction.
  • the contact pins 138 a to l 38 c are lowered to positions where they fit into the grooves 118 a to l 18 c extending from the outer periphery of the SiC susceptor 118 toward the center, and are moved up and down.
  • the arm 132 moves up above the SiC susceptor 118 as the arm 132 rises.
  • the contact pins 138a to 138c are connected to the arms 120a to 120c of the holding member 120 formed so as to extend from the center of the SiC susceptor 118 to the outer peripheral side. They are arranged so as not to interfere.
  • the elevating arm 132 contacts the contact pins 138a to 138c with the lower surface of the substrate to be processed W to remove the substrate to be processed. Lift the holding member 120 from the arm 120 a to 120 c. As a result, the mouth pot hand of the transfer robot 98 can move below the substrate W to be processed, and the lifting arm 132 descends to hold and transport the substrate W to be processed. Will be possible.
  • a quartz liner 100 made of, for example, white opaque quartz is mounted inside the processing container 22 to block ultraviolet rays.
  • the quartz liner 100 has a configuration in which a lower case 102, a side case 104, an upper case 106, and a cylindrical case 108 that covers the outer periphery of the quartz peruger 112 are combined, as described later.
  • the quartz liner 100 covers the inner walls of the processing container 22 and the lid member 82 forming the process space 84, thereby preventing the thermal expansion of the processing container 22 and the lid member 82.
  • the inner walls of the processing container 22 and the lid member 82 are prevented from being oxidized by ultraviolet rays, and have a role of preventing metal contamination.
  • the remote plasma section 27 for supplying nitrogen radicals to the process space 84 is attached to the front portion 22 a of the processing vessel 22, It communicates with the supply port 92 of the processing container 22 via 90.
  • a nitrogen gas is supplied together with an inert gas such as Ar, and by activating the nitrogen gas with the plasma, it is possible to form nitrogen radicals.
  • the nitrogen radicals thus formed flow along the surface of the substrate to be processed, and nitride the substrate surface.
  • oxidation, oxynitridation radical processes using O 2 , NO, N 20 , N 0 2 , NH 3 gas and the like can be performed.
  • a transfer port 94 for transferring the workpiece SW is provided at the rear of the processing container 22.
  • the transfer port 94 is closed by the gate valve 96, and is opened by the opening operation of the gate valve 96 only when the substrate to be processed W is transferred.
  • a transfer robot 98 is provided behind the gate valve 96. Then, in accordance with the opening operation of the gate pulp 96, the mouth pot hand of the transfer robot 98 enters the process space 84 from the transfer port 94 to perform the work of replacing the substrate W to be processed.
  • FIG. 18 is an enlarged longitudinal sectional view showing the configuration of the gas injection nozzle section 93.
  • FIG. 19 is an enlarged cross-sectional view showing the configuration of the gas injection nozzle section 93.
  • FIG. 20 is an enlarged front view showing the configuration of the gas injection nozzle section 93.
  • the gas injection nozzle portion 93 has a communication hole 92 in the center of the front surface, through which the supply pipe 90 of the remote plasma portion 27 communicates.
  • a plurality of injection holes 93 a to 93 a n are arranged in a row in the horizontal direction above the hole 92.
  • a chirping plate 9 3 bi to 9 3 b 3 is attached. ⁇ hole 9 3 a ⁇ 9 3 a n, if example embodiment, a small diameter hole 1 mm, are provided in a 1 0 mm intervals.
  • the injection holes 9 3 a ⁇ 9 3 a n consisting of small holes is not limited thereto, for example, may be provided with a narrow slit as the injection hole.
  • the nozzle plate 9 3 b ⁇ 9 3 b 3 is fastened to the wall surface of the gas ⁇ nozzle part 9 3. Therefore, ⁇ hole 9 3 & 1-9 3 gas injected from a n flows in front of the wall surface of the gas injection Roh nozzle part 9 3.
  • the if ⁇ hole 9 3 ai ⁇ 9 3 a n are provided in a pipe-shaped nozzle pipe, a portion of the injection hole 9 3 ai ⁇ 9 3 a n jetted from the gas nozzle A flow that wraps around the pipeline is generated, and a gas pool is generated in the process space 84, which causes a problem that the gas flow around the substrate W to be processed becomes unstable.
  • the recess 9 3 c ⁇ 9 3 c 3 that acts as a gas pocket is formed. Since the recess 9 3 c 3 c 3 is provided upstream of the injection Iana 9 3 ai ⁇ 9 3 a n, the respective injection holes 9 3 a x ⁇ 9 3 a n forces et injected by the gas respectively the It is possible to make the flow velocity average. This makes it possible to average the flow velocity in the entire process space 84.
  • the central gas supply holes 9 3 d 2 is formed in a position shifted laterally so as not to intersect the communication hole 9 2 is bent in a crank shape.
  • the center of the gas supply holes 9 3 d 2 gas that is your flow by a first mass flow controller 9 7 a is supplied through the gas supply pipe 9 9 2.
  • the gas supply hole 9 3 d 9 3 d 3 which is disposed on the left and right gas supply holes 9 3 d 2 is the second mass flow controller 9 7 b to I connection flow control gas is a gas supply pipe 9 Supplied via 9 9 9 3 .
  • the first mass flow controller 9 7 a ⁇ Pi second mass flow controller 9 7 b is Ri Contact is connected to the gas supply unit 3 4 through the gas supply pipe 9 9 4, 9 9 5, gas
  • the flow rate of the gas supplied from the supply unit 34 is controlled to a preset flow rate.
  • the first mass flow controller 9 7 a ⁇ Pi gas supplied from the second mass flow controller 9 7 b is a gas supply hole 9 3 Di ⁇ 9 3 d 3 via the gas supply pipe 9 9-9 9 3 lead, after being filled into the recesses 9 3 c ⁇ 9 3 c 3, it is injected toward the process space 8 4 from the injection hole 9 3 a ⁇ 9 3 a n .
  • the gas in the process space 84 is The air flows backward, and is exhausted to the exhaust passage 32 at a constant flow velocity (laminar flow). Further, in the present embodiment, since two systems of flow control are possible, for example, different flow control can be performed by the first mass flow controller 97a and the second mass flow controller 97b.
  • the flow rate (flow velocity) of the gas supplied into the process space 84 so as to change the gas concentration distribution in the process space 84.
  • different types of gases can be supplied by the first mass flow controller 97a and the second mass flow controller 97b.
  • the flow rate of nitrogen gas can be controlled by the first mass flow controller 97a.
  • the oxygen gas flow * lj by the second mass flow controller 97b.
  • the used gas include an oxygen-containing gas, a nitrogen-containing gas, and a rare gas.
  • FIG. 21 is an enlarged longitudinal sectional view showing the configuration of the heater section 24.
  • FIG. 22 is a bottom view showing the heater section 24 in an enlarged manner.
  • the heater section 24 is made of an aluminum alloy base. Place the quartz peruger 1 1 2 on 1 10 and the flange 1 on the bottom 2 2 c of the processing vessel 2 2
  • the 5 i C heater 1 14 and the heat reflecting member 1 16 are housed. Therefore, the SiC heater 114 and the heat reflecting member 116 are isolated from the process space 84 of the processing vessel 22, do not come into contact with the gas in the process space 84, and the contamination is reduced. The configuration does not occur.
  • the SiC susceptor 118 is placed on the quartz perger 112 so as to face the SiC heater 114, and the temperature is measured by a piemeter 119.
  • This mouthpiece meter 119 measures the temperature of the SiC susceptor 118 by the pyroelectric effect (picket electrical effect) generated as the SiC susceptor 118 is heated.
  • the control circuit estimates the temperature of the substrate W to be processed from the temperature signal detected by the pie mouth meter 119, and controls the amount of heat generated by the SiC heater 114 based on the estimated temperature.
  • the pressure reducing system operates so that the pressure difference between the process space 84 and the internal space 113 of the quartz peruger 112 decreases. And the pressure is reduced at the same time. Therefore, it is not necessary to make the quartz bar / layer 112 thicker (for example, about 3 Omm) in consideration of the pressure difference during the depressurization process, and the heat capacity is small, and the response during heating is correspondingly reduced. Enhanced.
  • the base 110 is formed in a disk shape, has a central hole 144 through which the shaft 120 d of the holding member 120 is inserted in the center, and is formed to extend in the circumferential direction inside.
  • a first water channel 144 for cooling water is provided. Since the base 110 is made of an aluminum alloy, it has a large coefficient of thermal expansion. However, the base 110 is rejected by flowing cooling water through the first water channel 144.
  • the flange 140 is fitted to the first flange 144 interposed between the base 110 and the bottom 22 c of the processing container 22 and the inner periphery of the first flange 144. And a second flange 148.
  • a second water channel 150 for cooling water extending in the circumferential direction is provided on the inner peripheral surface of the first flange 146.
  • the cooling water supplied from the upper cooling water supply unit 46 flows through the water channels 144 and 150 to generate the base 110 and the base 110 heated by the heat generated by the SiC heater 114.
  • the flange 140 is cooled to suppress the thermal expansion of the base 110 and the flange 140.
  • a first inlet 154 through which a first inflow pipe 152 for flowing cooling water into the water passage 144 communicates, and an outflow pipe for discharging cooling water passing through the water passage 144.
  • a first outlet 158 is provided to which the channel 156 communicates.
  • a plurality of mounting holes 162 are provided in the circumferential direction for passing the bolts 160 fastened to the first flange 146. Has been.
  • a temperature sensor 164 composed of a thermocouple for measuring the temperature of the SiC heater 114 and a power supply to the SiC heater 114 are provided.
  • Power cable connection terminals (solton terminals) 166a to 166f for supply are provided.
  • the heater 114 is formed with three regions, and the power cable connection terminals 166a to 166f are provided as a + terminal and one terminal for supplying power to each region.
  • FIG. 23 is an enlarged longitudinal sectional view showing a mounting structure of the second inlet 170 and the second outlet 174.
  • FIG. 24 is an enlarged longitudinal sectional view showing the mounting structure of the flange 140.
  • the first flange 146 is provided with an L-shaped communication hole 146a to which the second inlet 170 is connected.
  • the end of the communication hole 146a communicates with the water channel 150.
  • the second outlet 174 has the same configuration as the second inlet 170, and is connected to the water channel 150.
  • the water channel 150 is formed so as to extend in the circumferential direction inside the flange 140, so that by cooling the flange 140, the water channel 150 is pinched between the step portion 146b of the first flange 146 and the base 110.
  • the temperature of the flange 1 12a of the quartz peruger 112 is also indirectly cooled. Thereby, the thermal expansion of the flange portion 112a of the quartz peruger 112 in the radial direction can be suppressed.
  • the lower part of the A plurality of positioning holes 178 are provided on the surface at predetermined intervals in the circumferential direction.
  • the positioning hole 178 is a hole into which the pin 176 screwed into the upper surface of the base 110 is fitted.
  • the flange 1 1 When the base 110 having a large coefficient of thermal expansion thermally expands in the radial direction, the flange 1 1
  • the pin 176 is formed to have a diameter larger than the outer diameter of the pin 176 so that a load is not applied to the pin 176. That is, the thermal expansion of the base 110 with respect to the flange portion 112a of the quartz bar 112 is permitted by the clearance between the pin 176 and the positioning hole 178.
  • the base 1 since the flange portion 112a of the quartz peruger 112 has a radial clearance with respect to the step portion 146b of the first flange 146, the base 1 also has an amount corresponding to this clearance from this point. A thermal expansion of 10 is allowed.
  • the lower surface of the flange 1 1 2 a of the quartz peruger 1 1 2 is sealed by a sealing member (O-ring) 180 mounted on the upper surface of the base 110, and the flange 1 1 2 a of the quartz The upper surface is sealed by a seal member (O-ring) 182 mounted on the first flange 146.
  • first flange 146 and the second flange 148 are sealed by seal members (O-rings) 184 and 186 attached to the bottom 22 c of the processing container 22.
  • the lower surface of the second flange 148 is sealed by a seal member (O-ring) 188 mounted on the upper surface of the base 110.
  • a double seal structure is provided between the base 110 and the flange 140, and between the flange 140 and the bottom 22c of the processing vessel 22, and one of the seal members is broken.
  • the sealing can be performed by another sealing member, the reliability of the sealing structure between the processing chambers 2.2 and the heater section 24 is further enhanced.
  • the quartz peruger 112 is broken, or if the flange 112a is cracked, the inside of the quartz bell jar 112 is formed by the sealing member 180 arranged outside the flange 112a. Airtightness is secured, and the gas in the processing container 22 is prevented from flowing out.
  • the processing container 22 and the base 110 are connected to each other by the outer seal members 186 and 188 mounted at a position farther from the heater 24. Since the sealing performance during the period is maintained, Gas leakage due to aging can also be prevented.
  • the SiC heater 114 is mounted on the upper surface of the heat reflecting member 116 in the inner space 113 of the quartz peruger 112 and has a plurality of clamp mechanisms standing on the upper surface of the base 110. It is held at a predetermined height by 190.
  • the clamp mechanism 190 includes an outer cylinder 190 a that contacts the lower surface of the heat reflecting member 116, a shaft 190 b that penetrates the outer cylinder 190 a and contacts the upper surface of the SiC heater 114, and a shaft 190 b. And a coil spring 192 for pressing the outer cylinder 190a.
  • the clamp mechanism 190 has a configuration in which the S i C heater 114 and the heat reflecting member 116 are sandwiched by the spring force of the coil spring 192.
  • the S i C heater It is possible to hold the 114 and the heat reflecting member 116 so as not to contact the quartz bell jar 112.
  • the panel force of the coil spring 192 always acts, screw loosening due to thermal expansion is prevented, and the ic heater 114 and the heat reflecting member 116 are maintained in a stable state without rattling.
  • each of the clamp mechanisms 190 is configured so that the height position of the SiC heater 114 and the heat reflecting member 116 can be adjusted to an arbitrary position with respect to the base 110. S i by position adjustment. The heater 114 and the heat reflecting member 116 can be held horizontally.
  • connection member 194 a for electrically connecting each terminal of the SiC heater 114 and the power cable connection terminals 166 a to 166 f inserted into the base 110 is provided in the internal space 113 of the quartz peruger 112. 194194f (however, connecting members 194a and 194c are shown in FIG. 21).
  • FIG. 25 is an enlarged longitudinal sectional view showing the mounting structure at the upper end of the clamp mechanism 190.
  • the clamp mechanism 190 is screwed into the upper end of the shaft 190b that is passed through the through hole 116a of the heat reflecting member 116 and the through hole 114e of the SoC heater 114.
  • the L-shaped washers 197, 199 are pressed in the axial direction via the washer 195, and the SoC heater 114 is clamped.
  • S i. In the heater 114, the cylindrical portions 197a and 199a of the L-shaped washers 197 and 199 are inserted into the through holes 114e, and the shaft 190b of the clamp mechanism 190 is inserted into the cylindrical portions 197a and 199a. You. Then, the flange portions 197b and 199b of the L-shaped washers 197 and 199 abut on the upper and lower surfaces of the SiC heater 114.
  • the shaft 190b of the clamp mechanism 190 is urged downward by the panel force of the coil panel 192, and the outer cylinder 190a of the clamp mechanism 190 is urged upward by the panel force of the coil panel 192.
  • the panel force of the coil panel 192 acts as a clamping force, the heat reflecting member 116 and the SiC heater 114 are stably held, and damage due to vibration during transportation is prevented.
  • the through hole 114e of the SiC heater 114 has a larger diameter than the cylindrical portions 197c and 197d of the L-shaped pushers 197a and 197b, and is provided with a clearance. Therefore, when the position of the insertion hole 114 e and the position of the shaft 190 b are relatively displaced due to thermal expansion caused by the heat generated by the SiC heater 114, the through hole 114 e becomes the L-shaped pushers 197, 199 and the flange 197 b, l It is possible to shift in the horizontal direction while abutting on 99b, thereby preventing the occurrence of stress due to thermal expansion.
  • the SiC heater 114 has a first heat generating portion 114a formed in a circular shape at the center, and an arc shape surrounding the outer periphery of the first heat generating portion 114a. And the second and third heat generating portions 114b and 114c. At the center of the SiC heater 114, an insertion mosquito LI 14d through which the shaft 120d of the holding member 120 is inserted is provided.
  • the heat generating units 114 a to 114 c are connected in parallel to the heater control circuit 196, respectively, and are controlled to an arbitrary temperature set by the temperature controller 198.
  • the heater control circuit 196 controls the amount of heat radiated from the SiC heater 114 by controlling the voltage supplied from the power supply 200 to the heat generating units 114 a to 114 c. Further, if the capacities of the heat generating portions 114a to 114c are different, the load on the power supply 200 increases, and therefore, in this embodiment, the resistance is set so that the capacities (2 KW) of the heat generating portions 114a to 114c are the same. Is set.
  • the heater control circuit 196 generates heat by energizing the heat generating portions 114 a to 114 c simultaneously.
  • Control method I and a control method of generating heat from one of the first heat generating portion 114a at the center or the second and third heat generating portions 114, 114c at the outside according to the temperature distribution condition of the processing target 3 ⁇ 43 ⁇ 4W.
  • II and the heat generating portions 114a to 114c simultaneously according to the temperature change of the substrate W to be processed, or the first heat generating portion 114a or any one of the second and third heat generating portions 114b and 114c.
  • the control method ⁇ ⁇ to generate heat can be selected.
  • the peripheral edge is caused by a temperature difference between the outer peripheral side and the central portion. Parts may warp upward.
  • the SiC heater 114 heats the substrate W through the SiC susceptor 118 having good thermal conductivity, so that the entire substrate gW to be processed is Thus, the temperature difference between the peripheral portion and the central portion of the substrate to be processed W is suppressed to a small value, and the substrate to be processed W is prevented from warping.
  • FIG. 27A is a plan view showing the structure of the quartz peruger 112
  • FIG. 27B is a longitudinal sectional view showing the structure of the quartz peruger 112.
  • FIG. 28A is a perspective view of the configuration of the quartz peruger 112 viewed from above
  • FIG. 28B is a perspective view of the configuration of the quartz peruger 112 viewed from below.
  • the quartz peruger 112 is formed of transparent quartz, and has a cylindrical portion 112b formed above the above-mentioned flange portion 112a.
  • a top plate 112c that covers the upper side of the cylindrical portion 112b, a hollow portion 112d that extends below the center of the top plate 112c, and a reinforcing member that is laid across an opening formed inside the flange portion 112a.
  • the flange 112a and the top plate 112c receive a load, they are formed thicker than the cylindrical portion 112b.
  • the vertically extending hollow portion 112d and the horizontally extending beam portion 112e intersect inside the quartz peruger 112, the strength in the vertical and radial directions is increased. I have.
  • a lower end portion of the hollow portion 112d is connected to an intermediate position of the beam portion 112e, and the through hole 112f in the hollow portion 112d also penetrates the beam portion 112e. This The shaft 120d of the holding member 120 is inserted into the through hole 112f.
  • the above-mentioned SiC heater 114 and the heat reflecting member 116 are inserted into the internal space 113 of the quartz veneer roller 112. Further, although the SiC heater 114 and the heat reflecting member 116 are formed in a disk shape, they can be divided into an arc shape, and after being inserted into the internal space 113 avoiding the beam portion 1 12 e. Assembled.
  • bosses 112 g to 112 i for supporting the SiC susceptor 118 protrude from the top plate 112 c of the quartz peruger 112 at three locations (120 ° intervals). Therefore, the S i C susceptor 118 supported by the bosses 112 g to 112 i is placed so as to slightly float from the top plate 112 c. For this reason, even if the internal pressure of the processing container 22 changes or the temperature changes, the ic susceptor 118 is prevented from coming into contact with the top plate 112c even if it moves downward.
  • the internal pressure of the quartz peruger 112 is controlled by a depressurization system so that the difference between the internal pressure of the process space 84 and the pressure of the process space 84 of the processing vessel 22 is 5 OTorr or less, as described later. It is possible to make the thickness of 1 12 relatively thin. As a result, the thickness of the top plate 112c can be reduced to about 6 to 1 Onmi, so that the heat capacity of the quartz peruger 112 is reduced, and the responsiveness can be improved by increasing the heat conduction efficiency during heating. Will be possible.
  • the quartz peruger 112 of this embodiment is designed to have a strength to withstand the pressure of 100 Torr.
  • FIG. 29 is a system diagram showing a configuration of an exhaust system of the pressure reducing system.
  • the process space 84 of the processing container 22 is subjected to the suction force of the terpomolecular pump 50 via the exhaust path 32 connected to the exhaust port 74 by opening the valve 48a.
  • the pressure is reduced.
  • the downstream side of the vacuum pipe 51 connected to the exhaust port of the turbo-molecular pump 50 is connected to a pump (MBP) 201 for sucking the exhausted gas.
  • MBP pump
  • the internal space 113 of the quartz peruger 2 is connected to the bypass pipe 51 a via the exhaust pipe 202, and the internal space 124 defined by the casing 122 of the rotary drive 28 is bypassed via the air pipe 204. It is connected to conduit 51a.
  • a pressure gauge 205 for measuring the pressure in the internal space 113 and a quartz A valve 206 that is opened when the internal space 113 of the Perugia 112 is depressurized is provided.
  • the bypass pipe 51a is provided with the norb 48b, and the branch pipe 208 is provided to bypass the valve 48b.
  • the branch pipe 208 is provided with a valve 210 that is opened at an initial stage of the pressure reducing step, and a variable throttle 211 for reducing the flow rate more than the valve 48b.
  • an opening / closing valve 2 12 and a pressure gauge 2 14 for measuring the pressure on the exhaust side are provided on the exhaust side of the turbo molecular pump 50.
  • a check valve 2 18, a throttle 2 220, and a valve 2 222 are provided in a turbo line 2 16 in which an N 2 line for turbo shaft purging is connected to a turbo molecular pump 50. .
  • the pulp 206, 210, 211, 222 is composed of a solenoid valve, and is opened by a control signal from a control circuit.
  • the quartz peruger 112 and the rotary drive unit 28 instead of reducing the pressure all at once, gradually reducing the pressure gradually and gradually.
  • the pressure is reduced so as to approach.
  • the space between the inner space 113 of the quartz verger 112 and the process space 84 is improved.
  • a communication state is established through the air path 32, and the pressure is made uniform. This reduces the pressure difference between the inner space 113 of the quartz peruger 112 and the process space 84 at the start of the pressure reduction step.
  • valve 210 provided in the branch conduit 208 is opened to reduce the pressure by the small flow rate restricted by the variable restrictor 211. Thereafter, the pulp 48b provided in the bypass pipe 5la is opened to gradually increase the exhaust flow rate.
  • the pressure of the quartz peruger 112 measured by the pressure gauge 205 and the pressure of the process space 84 measured by the pressure gauges 85a to 85c of the sensor unit 85 are compared.
  • the pressure difference is less than 50 Torr, open valve 48.
  • the pressure reducing step the pressure difference between the inside and outside of the quartz bell jar 112 is alleviated, and the pressure reducing step is performed so that unnecessary stress does not act on the quartz bell jar 112.
  • the valve 48a is opened to increase the exhaust flow rate by the suction force of the turbo molecular pump 50, and the processing vessel 22, the quartz bell jar 112, the rotary drive The pressure inside the moving part 28 is reduced to a vacuum.
  • FIG. 30A is a plan view showing the configuration of the holding member 120
  • FIG. 30B is a side view showing the configuration of the holding member 120.
  • the holding member 120 has an arm 120 a to 120 c supporting the substrate W to be processed, and a shaft 120 d to which the arms 120 a to 120 c are connected. It is composed of The arms 120 a to 120 c are formed of transparent quartz in order to prevent contamination in the process space 84 and not to shield heat from the SiC susceptor 118. It extends radially in the horizontal direction at 120 degree intervals with the upper end of d as the central axis.
  • bosses 120e to 120g that abut on the lower surface of the substrate W to be protruded protrude from intermediate positions in the longitudinal direction of the arms 120a to 120c. Therefore, the substrate to be processed W is supported at three points where the bosses 120e to 120g abut.
  • the holding member 120 is configured to support the substrate to be processed W by point contact, the substrate to be processed W can be held at a position separated from the SiC susceptor 118 by a small distance. .
  • the distance between the SiC susceptor 118 and the substrate to be processed is, for example, 1 to 20 mm, and preferably about 3 to 1 Omm.
  • the substrate W to be processed rotates while floating above the SiC susceptor 118, and the heat from the SiC susceptor 118 is higher than when the substrate W is directly placed on the SiC susceptor 118.
  • the substrate to be treated 3 ⁇ 4W is held at a position separated from the SiC susceptor 118, it does not contact the SiC susceptor 118 even if warpage occurs due to a temperature difference, and the temperature is kept constant at regular times. It is possible to return to the original horizontal state.
  • the shaft 120d of the holding member 120 is formed of opaque quartz in a rod shape, and extends downward through the SiC susceptor 118 and the through hole 112f of the quartz peruger 112. As described above, the holding member 120 holds the substrate W to be processed in the process space 84. However, since the holding member 120 is formed of quartz, it is less likely to be contaminated than a metal member. (6) Here, the configuration of the rotation drive unit 28 will be described in detail.
  • FIG. 31 is a longitudinal sectional view showing the configuration of the rotation drive unit 28 disposed below the heater unit 24.
  • FIG. 32 is an enlarged longitudinal sectional view showing the rotation drive unit 28.
  • a holder 230 for supporting the rotation drive unit 28 is fastened to the lower surface of the base 110 of the heater unit 24.
  • the holder 230 is provided with a rotation position detection mechanism 2 32 and a holder cooling mechanism 2 34. Further, a ceramic shaft 126 to which the shaft 120 d of the holding member 120 is fixed is inserted below the holder 230 to rotatably support the ceramic shaft 126.
  • the fixed casing 122 holding the ceramic bearings 23 6 and 23 7 is fixed by a bolt 240.
  • the casing 122 has a flange 242 through which the bolt 240 is passed, and a bottomed cylindrical partition 244 extending below the flange 238.
  • An exhaust port 246 is provided on the outer peripheral surface of the partition wall 244 to which the exhaust pipe 204 of the pressure reducing system described above communicates, and the gas in the internal space 124 of the casing 122 is provided. Is exhausted and decompressed in the decompression step by the above-described decompression system. Therefore, the gas in the process space 84 is prevented from flowing out along the axis 120d of the holding member 120.
  • the driven space magnet 248 of the magnet coupling 130 is accommodated in the internal space 124.
  • the driven magnet 248 is covered with a magnet cover 250 fitted around the outer periphery of the ceramic shaft 126 to prevent contamination, and is connected to the gas in the internal space 124. Mounted so that they do not touch.
  • the magnet cover 250 is a ring-shaped cover made of an aluminum alloy, and has a ring-shaped space housed therein. It is housed in a state without rattling. The joints of the magnet cover 250 are joined without gaps by electron beam welding, and silver flows out as in the case of welding, etc., and contamination occurs. It has been processed so that no rust occurs.
  • a cylindrical air-side rotating portion 252 is provided on the outer periphery of the casing 122 so as to fit therewith, and is rotatably supported via bearings 255, 255. Have been.
  • a drive-side magnet 256 of the magnet coupling 130 is attached to the inner periphery of the atmosphere-side rotating portion 252.
  • the lower end portion 25a of the atmosphere-side rotating portion 252 is connected to the drive shaft 128a of the motor 128 via a transmission member 255. Therefore, the rotational driving force of the motor 128 is set between the driving magnet 2556 provided in the atmosphere-side rotating portion 252 and the driven magnet 2448 provided inside the casing 122. It is transmitted to the ceramic shaft 126 through the magnetic force and transmitted to the holding member 120 and the substrate W to be processed.
  • a rotation detection unit 258 for detecting the rotation of the atmosphere-side rotating unit 252 is provided outside the atmosphere-side rotating unit 252.
  • the rotation detecting unit 258 is composed of a disk-shaped slit plate 260, 261, which is attached to the outer periphery of the lower end of the air-side rotating unit 252, and a rotation of the slit plate 260, 261, It consists of photointerrupters 262 and 263 that detect the quantity optically.
  • the photo interrupters 26 2 and 26 3 are fixed to the fixed casing 122 by a bracket 26 4.
  • a pulse corresponding to the number of rotations is simultaneously detected from the pair of photointerrupters 262, 263. Therefore, the rotation detection accuracy is determined by comparing the two pulses. Can be increased.
  • FIG. 33A is a cross-sectional view showing the configuration of the holder cooling mechanism 234, and FIG. 33B is a side view showing the configuration of the holder cooling mechanism 234.
  • the holder cooling mechanism 234 has a water channel 230a for cooling water extending in the circumferential direction inside the holder 230.
  • the cooling water supply port 230b is connected to one end of the water channel 230a, and the cooling water discharge port 230c is connected to the other end of the water channel 230a.
  • FIG. 34 is a cross-sectional view showing the configuration of the rotational position detecting mechanism 232.
  • one side of the holder 230 is attached with a light emitting element 266, and the other side of the holder 230 receives light from the light emitting element 266.
  • Light receiving element 268 is mounted.
  • a central hole 230d through which the shaft 120d of the holding member 120 is inserted is vertically penetrated.
  • the light emitting element 266 is inserted into the end of one through hole 230 e, and the light receiving element 268 is inserted into the end of the other through hole 230 f. Since the axis 120 d is inserted between the through holes 230 e and 230 f, the rotational position of the axis 120 d is detected from the output change of the light receiving element 2668 It becomes possible.
  • FIG. 35A is a diagram illustrating a non-detection state of the rotation position detection mechanism 232
  • FIG. 35B is a diagram illustrating a detection state of the rotation position detection mechanism 232.
  • the shaft 120 d of the holding member 120 has a tangential chamfering process on the outer periphery.
  • the chamfered portion 120 i is rotated to an intermediate position between the light emitting element 2666 and the light receiving element 2668, the light becomes parallel to the light emitted from the light emitting element 260.
  • the light from the light emitting element 266 passes the side of the chamfered portion 120i and is irradiated on the light receiving element 268.
  • the output signal S of the light receiving element 268 is turned on (that is, supplied to the rotational position determination circuit 270).
  • FIG. 36A is a waveform diagram showing the output signal S of the light-receiving element 268 of the rotation position detection mechanism 2 32
  • FIG. 36B is a pulse signal P output from the rotation position determination circuit 270.
  • the light receiving element 268 is moved by the rotation position of the shaft 120d.
  • the amount of light received from the light emitting element 266 changes parabolically.
  • the rotation position determination circuit 270 sets a threshold value H for the output signal S, and outputs a pulse P when the output signal S exceeds the threshold value H.
  • This pulse P is output as a detection signal for detecting the rotation position of the holding member 120. That is, as shown in FIG. 10, the rotational position determination circuit 27 0 is configured such that the arm portions 120 a to 120 c of the holding member 120 are connected to the contact pins 1 3 8 of the elevating arm 13 2. It judges that it is at a position that does not interfere with a to 138c and does not interfere with the robot hand of the transfer robot 98, and outputs the detection signal (pulse P).
  • FIG. 37 is a flowchart for explaining the rotational position control processing executed by the control circuit.
  • the control circuit proceeds to S12 and starts the motor 128. Subsequently, the flow advances to S13 to check whether or not the signal of the light receiving element 268 is ON. If the signal of the light receiving element 268 is on in S13, the process proceeds to S14, and the rotation number of the holding member 120 and the substrate W to be processed is calculated from the period of the detection signal (pulse P). .
  • the process proceeds to S15, where it is checked whether or not the rotation speed n of the holding member 120 and the substrate to be processed W is a preset target rotation na.
  • S15 when the rotation speed n of the holding member 120 and the substrate to be processed does not reach the target rotation na, the process returns to S13, and it is checked again whether the rotation speed of the motor 128 has increased. To check.
  • the process proceeds to S18 to stop the motor 128. Subsequently, in S19, it is checked whether the signal of the light receiving element 268 is on, and the process is repeated until the signal of the light receiving element 268 is turned on. In this way, the arm portions 120 a to l 20 c of the holding member 120 do not interfere with the contact pins 1 38 a to 1 38 c of the elevating arm 13 2, and the transfer robot 9 8 robots It can be stopped at a position that does not interfere with the hand.
  • FIG. 38 is a cross-sectional view of the mounting location of the windows 75, 76 as viewed from above.
  • FIG. 39 is a cross-sectional view showing the window 75 in an enlarged manner.
  • FIG. 40 is a cross-sectional view showing the window 76 in an enlarged manner.
  • the first window 75 is used for supplying gas to the process space 84 formed inside the processing vessel 122 and for reducing the pressure to a vacuum.
  • the structure is more airtight.
  • the window 75 has a double structure including transparent quartz 272 and UV glass 274 for blocking ultraviolet rays.
  • the first window frame 278 is screwed to the window mounting portion 276 with screws 277 while the transparent quartz 272 is in contact with the window mounting portion 276 and fixed.
  • a sealing member (O-ring) 280 for hermetically sealing the gap with the transparent quartz 272 is mounted on the outer surface of the window mounting portion 276.
  • the second window frame 282 is screwed and fixed to the outer surface of the first window frame 278 with screws 284 in a state where the UV glass 274 is in contact with the first window frame 278.
  • the window 75 prevents the ultraviolet light emitted from the ultraviolet light source (UV lamp) 86, 87 from being blocked by the UV glass 274 and leaking out of the process space 84.
  • the gas supplied to the process space 84 is prevented from flowing out to the outside by the sealing effect of the sheath member 280.
  • the second window 76 has the same configuration as the above-mentioned window 75, and has a double structure including transparent quartz 292 and UV glass 294 that blocks ultraviolet rays.
  • the first quartz frame 298 is screwed to the window mounting part 296 with screws 297 and fixed in a state where the transparent quartz 292 contacts the window mounting part 296. .
  • a seal member (O-ring) 300 for hermetically sealing the space between the window mounting portion 296 and the transparent quartz 292 is mounted.
  • the outer surface of the first window frame 298 has UV glass 2
  • the second window frame 302 is screwed and fixed with screws 304 with the 94 in contact.
  • the window 76 prevents the ultraviolet light emitted from the ultraviolet light sources (UV lamps) 86 and 87 from being blocked by the UV glass 294 and leaking out of the process space 84.
  • the sealing effect of the sealing member 300 prevents the gas supplied to the process space 84 from flowing out.
  • a configuration in which a pair of windows 75 and 76 are disposed on the side surface of the processing container 22 has been described as an example.
  • the configuration is not limited to this, and three or more windows may be provided. Of course, or of course, it may be provided at a place other than the side.
  • the quartz liner 100 has a configuration in which the lower case 102, the side case 104, the upper case 106, and the cylindrical case 108 are combined.
  • Each is made of opaque quartz, and is provided for the purpose of protecting the aluminum alloy processing vessel 22 from gas and ultraviolet rays and preventing metal contamination by the processing vessel 22. ing.
  • FIG. 41A is a plan view showing the configuration of the lower case 102
  • FIG. 41B is a side view showing the configuration of the lower case 102.
  • FIG. 41A is a plan view showing the configuration of the lower case 102
  • FIG. 41B is a side view showing the configuration of the lower case 102.
  • the lower case 102 is formed in a plate shape having a contour corresponding to the inner wall shape of the processing vessel 22, and the S i C
  • a circular opening 310 is formed opposite to the susceptor 118 and the substrate to be processed W.
  • the circular opening 310 is formed to have a dimension into which the cylindrical case 108 can be inserted, and the inner periphery is provided with the distal ends of the arms 120 a to 120 c of the holding member 120. Recess for insertion 3 10 a to 310 c are provided at intervals of 120 degrees.
  • the positions of the recesses 310 a to 310 c are such that the arm portions 120 a to 120 c of the holding member 120 do not interfere with the contact pins 138 a to 138 c of the elevating arm 132, and This is a position that does not interfere with the robot hand of pot 98.
  • the lower case 102 is provided with a rectangular opening 312 facing the exhaust port 74 formed at the bottom of the processing container 22. Further, the lower case 102 has positioning protrusions 314a and 314b on the lower surface at asymmetric positions. In addition, a concave portion 310 d is formed on the inner periphery of the circular opening 310 so that a protrusion of a cylindrical case 108 described later is fitted thereto. Further, a stepped portion 315 that fits into the side surface case 104 is provided on a peripheral portion of the lower case 102.
  • FIG. 42A is a plan view showing the configuration of the side case 104
  • FIG. 42B is a front view of the side case 104
  • FIG. 42C is a rear view of the side case 104
  • FIG. 42E is a right side view of the side case 104.
  • the side case 104 is formed in a substantially rectangular frame shape whose outer shape corresponds to the inner wall shape of the processing container 22 and whose four corners are R-shaped.
  • a process space 84 is formed.
  • the side case 104 has an elongated slit 316 extending in the lateral direction so as to face the plurality of injection ports 93 a of the gas injection nozzle section 93 on the front surface 104 a, and a remote plasma section 27. And a U-shaped opening 317 provided at a position facing the communication hole 92 communicating with the communication hole.
  • each opening 16 communicates with the opening 3 17
  • a concave portion 318 for allowing the robot hand of the above-described transfer port bot 98 to pass therethrough is formed on the rear surface 104b at a position facing the transfer port 94.
  • FIG. 43A is a bottom view showing the configuration of the upper case 106
  • FIG. 43B is a side view of the upper case 106.
  • the upper case 106 is formed in a plate shape whose contour corresponds to the inner wall shape of the processing vessel 22, and an ultraviolet light source (UV lamp) 8. Rectangular openings 3 2 4 and 3 2 5 are formed at positions facing 6 and 87. Further, a step portion 326 fitted to the side case 104 is provided on a peripheral portion of the upper case 106.
  • UV lamp ultraviolet light source
  • the upper case 106 is provided with circular holes 327 to 329 corresponding to the shape of the lid member 82 and a rectangular hole 340 of a rectangular shape.
  • FIG. 44A is a plan view showing the configuration of the cylindrical case 108
  • FIG. 44B is a side longitudinal sectional view of the cylindrical case 108
  • FIG. 44C is a cylindrical case 108. In the side view.
  • the cylindrical case 108 is formed in a cylindrical shape so as to cover the outer periphery of the quartz peruger 112, and a lifting arm 1 There are provided recesses 108 a to 108 c into which 32 contact pins 1 38 a to 38 c are inserted. Further, the cylindrical case 108 is provided with a positioning projection 108d on the outer periphery of the upper end portion, into which the concave portion 310d of the lower case 102 fits.
  • FIG. 45 is a longitudinal sectional view showing the lifter mechanism 30 in an enlarged manner.
  • FIG. 46 is a longitudinal sectional view showing the seal structure of the lifter mechanism 30 in an enlarged manner.
  • the lifter mechanism 30 raises and lowers the elevating shaft 13 4 by the driving unit 13 6 to raise and lower the elevating arm 13 2 inserted into the chamber 80.
  • the outer periphery of the elevating shaft 1 34 inserted into the through hole 80 a of the chamber 80 is covered with a bellows-shaped bellows 3 32 so as to prevent contamination in the chamber 80. Is configured.
  • the bellows 332 has a shape in which the bellows portion can expand and contract, and is formed of, for example, an inconnex or Hastelloy. Further, the through hole 80a is closed by a lid member 3440 through which the elevating shaft 134 is passed.
  • a cylindrical ceramic cover 338 is fitted and fixed to the second connecting member 336. Since the ceramic cover 338 extends below the connecting member 336, it is provided so as to cover the periphery of the bellows 332 so as not to be directly exposed in the chamber 80.
  • the bellows 3332 extends upward when the lifting arm 1332 is raised in the process space 84, and is covered with a cylindrical cover 38 made of ceramic. Therefore, the bellows 3332 is not directly exposed to the gas or heat in the process space 84 by the cylindrical cover 338 inserted into the through hole 80a so as to be able to move up and down. Has been prevented.
  • FIG. 47A is a side view and a plan view showing a case where the substrate to be processed W is subjected to radical oxidation using the substrate processing apparatus 20 of FIG. 2, and FIG. 47B is a configuration of FIG. 47A.
  • FIG. 47A is a side view and a plan view showing a case where the substrate to be processed W is subjected to radical oxidation using the substrate processing apparatus 20 of FIG. 2, and FIG. 47B is a configuration of FIG. 47A.
  • an oxygen gas is supplied into the process space 84 from the gas injection nozzle portion 93 and flows along the surface of the substrate W to be processed.
  • the turbo molecular pump is noticed through 50 and the pump 201.
  • the process pressure in the process space 84 is set in a range of 10 -3 to 10 -6 T rr which is necessary for oxidation of the substrate W by oxygen radicals.
  • oxygen radicals are formed in the oxygen gas stream thus formed by driving the ultraviolet light sources 86, 87, which preferably generate ultraviolet light having a wavelength of 170 nm.
  • the formed oxygen radicals oxidize the rotating substrate surface when flowing along the surface of the target substrate W.
  • Oxidation of the substrate to be treated by oxygen radicals results in an extremely thin oxide film with a thickness of 1 nm or less on the surface of the silicon substrate, especially an oxidation thickness of about 0.4 nm corresponding to a few atomic layers.
  • the film can be formed stably with good reproducibility.
  • the UV light sources 86, 87 cross the direction of the oxygen gas flow. It can be seen that the turbo molecular pump 50 exhausts the process space 84 via the exhaust port 74. On the other hand, the exhaust path directly from the exhaust port 74 to the pump 50 and indicated by a dotted line in FIG. 47B is shut off by closing the valve 48b.
  • FIG. 48 shows a substrate processing apparatus 20 shown in FIG. 2, in which the silicon oxide film is formed on the silicon substrate surface by the steps of FIGS. 47A and 47B, the substrate temperature is set to 450 ° C.
  • the relationship between the film thickness and the oxidation time when the film is formed while changing the oxygen partial pressure in various ways is shown.
  • the natural oxide film on the silicon substrate surface was removed prior to radical oxidation, and in some cases, carbon remaining on the substrate surface was removed in ultraviolet-excited nitrogen radicals.
  • the substrate surface is flattened by performing a high-temperature heat treatment at ° C.
  • the ultraviolet light sources 86 and 87 excimer lamps having a wavelength of 172 nm were used.
  • the series 1 data shows that the ultraviolet light irradiation intensity was set to 5% of the reference intensity (50 mWZcm2) at the window surface of the ultraviolet light source 24 B, the process pressure was 66 5 mPa (5 mTorr), and the oxygen The relationship between the oxidation time and the oxide film thickness when the gas flow rate was set to 30 SCCM is shown in the data of series 2.
  • the ultraviolet light intensity was set to zero
  • the process pressure was set to 133 Pa (lTorr)
  • the oxygen gas The relationship between the oxidation time and the oxide film thickness when the flow rate is set to 3 SLM is shown.
  • the series 3 data shows the relationship between the oxidation time and the oxide film thickness when the ultraviolet light intensity is set to zero, the process pressure is set to 2.66 Pa (2 OmTorr), and the oxygen gas flow rate is set to 150 SCCM.
  • the data in series 4 are based on the case where the ultraviolet light irradiation intensity is set to 100%, that is, the reference intensity, the process pressure is set to 2.66 Pa (2 OmTo rr), and the oxygen gas flow rate is set to 150 SCCM.
  • the relationship between the oxidation time and the oxide film thickness is shown.
  • the oxidation time was set when the UV irradiation intensity was set to 20% of the reference intensity, the process pressure was set to 2.66 Pa (20 mTorr), and the oxygen gas flow rate was set to 150 SCCM.
  • the data in series 6 show that the UV light irradiation intensity was set to 20% of the reference irradiation intensity, the process pressure was about 67 Pa (0.5 To rr), and the oxygen gas flow rate was 0.5
  • the relationship between the oxidation time and the oxide film thickness when set to SLM is shown.
  • the data of series 7 The relationship between oxidation time and oxidation Hff when setting the reference strength to 20%, the process pressure to 665 Pa (5 Torr), and the oxygen gas flow rate to 2 S LM.
  • the oxide film thickness is obtained by the XPS method. However, there is no unified method for obtaining such a very thin oxide film thickness of less than 1 nm at this time.
  • the inventor of the present invention performed background correction of the observed XPS spectrum of the Si 2p orbit shown in FIG. 49, and performed separation correction of the 3Z2 and 1/2 spin states, and obtained the results.
  • the film thickness d of the oxide film was obtained using the equation and the coefficient shown in the equation (1).
  • a is the detection angle of the XPS spectrum shown in FIG. 55, and is set to 30 ° in the example shown.
  • ⁇ ⁇ + is the integrated intensity of the spectrum peak corresponding to the oxide film ( ⁇ + ⁇ 2 ⁇ + ⁇ 3 ⁇ + ⁇ 4 ⁇ ). are doing.
  • 1 0+ 1 00 e V corresponding to the energy region near, corresponding to the integrated intensity of the resulting Surusupeku Torupi over click on a silicon substrate.
  • an extremely thin oxide film with a thickness of about 4 nm can be formed stably.
  • the oxide film to be formed has a uniform thickness. That is, according to the present invention, an oxide film having a thickness of about 0.4 nm can be formed on a silicon substrate to a uniform thickness.
  • FIGS. 52A and 52B schematically show a process of forming a thin oxide film on such a silicon substrate. It should be noted that in these figures, the structure on the silicon (100) substrate is extremely simplified.
  • two oxygen atoms are bonded to each silicon atom on the silicon substrate surface to form a single atomic layer of oxygen.
  • the silicon atoms on the substrate surface are coordinated by two silicon atoms inside the substrate and two oxygen atoms on the substrate surface to form a suboxide.
  • the state of FIG. 52B stops the oxidation.
  • the thickness of the oxide film in the state of FIG. 52B is about 0.4 nm, which is in good agreement with the oxide film thickness in the stationary state observed in FIG.
  • the lower peak seen in the energy range of 101 to 104 eV when the oxidation concentration is 0.1 nm or 0.2 nm corresponds to the suboxide in Fig. 52A.
  • believed peaks appearing in the E Nerugi region representing the formation of S i 4+ to be due, Sani ⁇ more than 1 atomic layer when the oxide film thickness exceeds 0. 3 nm Can be
  • FIG. 4 7 A Retention behavior of the oxide film thickness in such a 0. 4 nm of film thickness, FIG. 4 7 A, is not limited to UV0 2 radical oxidation process of FIG. 4 7 B, the same thin acid I ⁇ It is considered that the same method can be used if an oxide film can be formed with high accuracy.
  • FIG. 53 shows the ultraviolet light of Figs. 47A and 47B using the substrate processing apparatus 20 in this way.
  • a ZrSiOx film having a thickness of 0.4 nm and an electrode film were formed on the oxide film formed by the radical oxidation process (see FIG. 54B described later).
  • the relationship between the equivalent thermal oxide film thickness Teq and the leakage current Ig obtained for the laminated structure is shown.
  • the leakage current characteristics in FIG. 53 are measured with a voltage of V fb ⁇ 0.8 V applied between the electrode film and the silicon substrate with reference to the flat band voltage V fb.
  • FIG. 53 also shows the leakage current characteristics of the thermal oxide film.
  • the equivalent thickness being illustrated is for the combined oxide and Z r S i O x film structure.
  • the leak current density exceeds the leak current density of the thermal oxide film.
  • the film thickness T eq is also a relatively large value of about 1.7 nm.
  • the value of the thermal oxide equivalent expansion T eq starts to decrease. In such a state it will be interposed between oxide film between the silicon substrate and the Z r S i O x film, although the physical thickness is converted SU?
  • FIG. 54A and FIG. 54B show schematic cross sections of the sample formed in this way, and an oxide film 442 is formed on a silicon substrate 441, and an oxide film 44 2 shows a structure in which a ZrSIOx film 443 is formed on 2.
  • the thickness of the oxide film exceeds 0.4 nm
  • the value of the thermal oxide film equivalent thickness starts to increase again.
  • the value of the leak current decreases as the thickness increases, and the increase in the conversion is attributed to the increase in the physical properties of the oxide film. Conceivable.
  • the oxide film growth observed in Fig. 48 stops, and the film around 0.4 nm stops.
  • the thickness corresponds to the minimum value of the converted ff of the system composed of the oxide film and the high dielectric film, and the stable oxide film shown in Fig. It can be seen that the diffusion into the metal is effectively Plih, and that even if the thickness of the oxide film is further increased, the effect of preventing diffusion of the metal element is not increased so much.
  • the value of leakage current when using an oxide film with a thickness of 0.4 nm is about two orders of magnitude smaller than the value of leakage current of a corresponding thickness of thermal oxide film. It can be seen that the gate leakage current can be minimized by using it for the gate insulating film of the MOS transistor.
  • the oxide film 44 4 formed on the silicon substrate 44 1 Even if the film thickness changes or irregularities exist initially in Fig. 2, the increase in the film thickness stops near 0.4 nm during oxide film growth as shown in Fig. By continuing the growth of the oxide film, a very flat and uniform oxide film 442 shown in FIG. 55C can be obtained.
  • the H? Value itself of the oxide film 442 in FIG. 55C may be different depending on the measurement method.
  • the thickness at which oxide film growth stops will be the thickness of two atomic layers, and therefore, the preferred oxide film 4 4 2
  • the thickness is considered to be about 2 atomic layers thick. This preferable thickness is obtained when a region having a thickness of three atomic layers is formed partially so as to secure a thickness of two atomic layers over the entire silicon oxide film 442. Is also included. That is, it is believed that the preferred thickness of the oxide film 442 is actually in the range of 2-3 atomic layers.
  • FIG. 56 shows a configuration of a remote plasma unit 27 used in the substrate processing apparatus 20.
  • the remote plasma section 27 has a gas circulation passage 27a, a gas inlet 27b and a gas outlet 76c communicating with the gas circulation passage 27a, and is typically formed.
  • a ferrite core 27B is formed in the portion.
  • the inner surfaces of the gas circulation passage 27a, the gas inlet 27b and the gas outlet 27c are coated with a fluororesin 27d to supply a high frequency of 400 kHz to the coil wound around the ferrite core 27B. As a result, plasma 27C is formed in the gas circulation passage 27a.
  • nitrogen radicals and nitrogen ions are formed in the gas circulation passage 27a, but the nitrogen ions disappear when circulating in the circulation passage 27a, and the gas outlet 27c Releases mainly nitrogen radicals N2 *.
  • the configuration shown in FIG. 56 by providing an ion filter 27e grounded to the gas outlet 27c, charged particles including nitrogen ions are removed, and only nitrogen radicals are supplied to the process space 84. Is done. Further, even in a case where the ion filter 27 e is not grounded, the structure of the ion filter 27 e functions as a diffusion plate, and it is possible to sufficiently remove charged particles such as nitrogen ions. .
  • FIG. 57 shows the relationship between the number of ions formed by the remote plasma section 27 and the electron energy in comparison with the case of a microphone mouth-wave plasma source.
  • Table 1 shows the comparison of ionization energy conversion efficiency, dischargeable pressure range, plasma power consumption, and process gas flow rate between when plasma is excited by microwave and when plasma is excited by high frequency. Is shown. Ino r- Ono Chemical Co., Ltd. Release J fgj Satoshi / Nofu ⁇ No YA No J
  • Microwave 1.00X10-2 0.1m ⁇ 0 ⁇ lTorr 1 ⁇ 500W 0 ⁇
  • the nitridation of the oxide film is performed not by nitrogen ions but by nitrogen radicals N2 *, so that the number of excited nitrogen ions is preferably small! Also, from the viewpoint of minimizing damage to the substrate to be processed, the number of nitrogen ions to be excited is preferably small. Further, in the substrate processing apparatus 20, the number of excited nitrogen radicals is small, and a very thin base layer under the high-dielectric gate insulating film, and a base oxide film having a thickness of at most about 2 to 3 atomic layers can be obtained. It is suitable for nitriding.
  • 59A and 59B are a side view and a plan view, respectively, showing a case where the substrate to be processed W is radially tilted using the substrate processing apparatus 20.
  • Ar gas and nitrogen gas are supplied to the remote plasma unit 27, and nitrogen radicals are formed by exciting the plasma at a high frequency of several hundred kHz.
  • the formed nitrogen radicals flow along the surface of the substrate W to be processed, and are exhausted through the exhaust port 74 and the pump 201.
  • the process space 84 is suitable for radical
  • the process pressure is set in the range of a to 13.3 kPa (0.01 to: L00Torr).
  • the valves 48 a and 212 are opened, and the pulp 48 a is closed.
  • the pressure in process space 84 is 1.33 x 1.
  • One- one ! . 3 3 X 1 0- 4 is decompressed to a pressure of P a, the oxygen and moisture remaining in the process space 8 4 is purged, pulp 4 8 a and 2 1 2 in the subsequent nitriding closure
  • the turbo molecular pump 50 is not included in the exhaust path of the process space 84.
  • Figure 60A shows the results shown in Table 2 in which the oxide film formed on the Si substrate by thermal oxidation processing to a thickness of 2.
  • Fig. 60B shows the relationship between the nitrogen concentration distribution and the oxygen concentration distribution in the same oxide film when nitrided in the above oxide film. Table 2
  • the treatment pressure during the nitridation treatment is almost the same as the purge pressure, and therefore, the residual oxygen has a high thermodynamic activity in the plasma atmosphere. It is considered.
  • the concentration of nitrogen introduced into the oxide film is limited, and nitriding of the oxide film does not substantially proceed. You can see that.
  • the nitrogen concentration in the oxide film varies with depth, reaching a concentration close to 20% near the surface. You can see that.
  • FIG. 61 shows the principle of the measurement of FIG. 60A performed using XPS (X-ray spectroscopy spectrum).
  • a sample having an oxide film 412 formed on a silicon substrate 411 is irradiated with X-rays obliquely at a predetermined angle, and the excited X-ray spectrum is detected by a detector.
  • DET 1 and DET 2 detect at various angles. At this time, for example, the detector DET 1 set at a deep detection angle of 90 ° has a short path of the excited X-rays in the oxide film 4 12, and thus the X-ray spectrum detected by the detector DET 1 is short.
  • the detector DET 2 mainly detects information near the surface of the oxide film 4 12.
  • FIG. 60B shows the relationship between the nitrogen concentration and the oxygen concentration in the oxide film.
  • the oxygen concentration is represented by the X-ray intensity corresponding to the Ols orbit.
  • FIG. 62 shows a diagram in which an oxide film is formed to a thickness of 4 A (0.4 nm) and 7 A (0.7 nm) in the substrate processing apparatus 20, and is formed using the remote plasma section 27.
  • FIG. 59A and 59B show the relationship between the nitriding time and the nitrogen concentration in the film when nitriding by the nitriding step of FIG. 59B.
  • FIG. 63 shows how nitrogen is deflected to the oxide film surface due to the nitriding treatment of FIG. Figures 62 and 63 also show the case where the oxide film was formed to a thickness of 5 A (0.5 nm) and 7 A (0.7 nm) by rapid thermal oxidation. I have.
  • the nitrogen concentration in the film increases with the nitridation time for any oxide film, and particularly corresponds to the two atomic layers formed by ultraviolet radical oxidation.
  • the thickness of the oxide film is small. The nitrogen concentration inside is getting higher.
  • FIG. 63 shows the result of detecting the nitrogen concentration in FIG. 61 by setting the detectors DET1 and DET2 to the detection angles of 30 ° and 90 °, respectively.
  • the vertical axis in Fig. 63 shows the X-ray spectrum intensity from the nitrogen atoms segregated on the film surface obtained at a detection angle of 30 ° at a detection angle of 90 °. It is divided by the value of the X-ray spectrum intensity from the nitrogen atoms dispersed throughout the film, and this is defined as the nitrogen segregation rate. If this value is 1 or more, segregation of nitrogen on the surface occurs. ⁇
  • the nitrogen segregation rate was 1 or more, and nitrogen atoms were initially segregated on the surface. It is considered that the oxynitride film in 1 is in a state like 12 A. It is also apparent that the particles are almost uniformly distributed in the film after performing the nitriding treatment for 90 seconds. It can also be seen that the distribution of nitrogen atoms in the other films becomes almost uniform after the nitriding treatment for 90 seconds. In the experiment shown in FIG.
  • FIG. 64 shows Ilff fluctuations of the oxynitride film thus obtained for each wafer.
  • the results in FIG. 64 show that the oxidation was performed so that the oxide film thickness obtained by XPS measurement was 0.4 nm during the ultraviolet radical oxidation treatment performed by driving the ultraviolet light sources 86 and 87 in the substrate processing apparatus 20. A film is formed, and then the oxide film thus formed is converted into an oxynitride film containing about 4% of nitrogen atoms by a nitriding treatment performed by driving the remote plasma unit 27. Things.
  • the vertical axis shows the film thickness obtained by ellipsometry for the oxynitride film thus obtained, and as can be seen from FIG. 64, the obtained film thickness is approximately 8 A ( 0.8 nm).
  • Fig. 65 shows that the substrate processing equipment 20 expanded : Formed a 0.4 nm oxide film on a silicon substrate by radical oxidation treatment using ultraviolet light sources 86 and 87, and then nitrified by a remote plasma unit 27. The result of examining is shown.
  • the oxide film having a thickness of about 0.38 nm at the beginning has a thickness of 4 to 7% when nitrogen atoms are introduced by the nitriding treatment. It can be seen that it has increased to about 0.5 nm. On the other hand, when about 15% of nitrogen atoms were introduced by nitriding, the film thickness increased to about 1.3 nm. In this case, the introduced nitrogen atoms passed through the oxide film and entered the silicon substrate. It is considered that they have penetrated and formed a nitride film.
  • FIG. 65 the relationship between the nitrogen concentration and the film thickness for an ideal model structure in which only one layer of nitrogen is introduced into the 0.4-nm-thick oxide film is shown.
  • the film thickness after the introduction of nitrogen atoms is about 0.5 nm, and the increase in the film thickness of ⁇ is about 0.1 nm and the nitrogen concentration is about 12 nm. %. Based on this model, it is concluded that, when the oxide film is nitrided by the substrate processing apparatus 20, the film thickness ⁇ ⁇ ⁇ ⁇ is preferably suppressed to the same level of 0.1 to 0.2 nm. At that time, the amount of nitrogen atoms taken into the film is up to about 12% It is estimated to be. ⁇
  • the present invention is not limited to such a specific embodiment, and is not limited to silicon. It can be applied to form a high-quality oxide film, nitride film or oxynitride film on a substrate or a silicon layer to a desired film thickness.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Abstract

本発明の基板処理装置は、被処理基板の成膜処理を安定且つ効率良く行うことを目的しており、基板処理装置は、ヒータ部に対向する位置に被処理基板を支持すると共に、被処理基板を保持する保持部材を回転させることにより、被処理基板の温度分布を均一に保ち、被処理基板の反りを抑制する。また、処理容器の内壁は、不透明石英により形成された石英ライナにより覆われており、紫外線光源から照射された紫外線から保護されると共に、断熱効果によりヒータ部からの熱による温度上昇が抑制される。これにより、処理容器の寿命を延ばせる。

Description

技術分野
本発明は基板処理装置に係り、 特に基板に対し成膜などの処理を施す基板処理 装置に関する。 背景技術
今日の超高速半導体装置では、 微細化プロセスの進歩とともに、 0 · 1 m以 下のゲート長が可能になりつつある。 一般に微細化とともに半導体装置の動作速 度は向上するが、 このように非常に微細化された半導体装置では、 ゲート絶縁膜 の膜厚を、 微細化によるゲート長の短縮に伴って、 スケーリング則に従って減少 させる必要がある。
しかしゲート長が 0 . Ι μ ιη以下になると、 ゲート絶縁膜の厚さも、 従来の熱 酸化膜を使った場合、 l〜2 n m、 あるいはそれ以下に設定する必要があるが、 このように非常に薄いゲート絶縁膜ではトンネル電流が増大し、 その結果ゲート リーク電流が増大する問題を回避することができない。
このような事情で従来より、比誘電率が熱酸化膜のものよりもはるかに大きく、 このため実際の膜厚が大きくても S i 02膜に換算した場合の膜厚が小さい T a 2O5や A 1 2O3, Z r O2, H f 02、 さらには Z r S i 04あるいは H f S i 04 のような高誘電 "料をゲート絶縁膜に対して適用することが提案されている。 このような高誘電体材料を使うことにより、 ゲート長が 0 . 1 μ m以下と、 非常 に短い超高速半導体装置においても 1 0 n m程度の物理的膜厚のゲート絶縁膜を 使うことができ、トンネル効果によるゲートリーク電流を抑制することができる。 例えば、 従来より T a 2Os膜は T a (O C2H5) 5および O2を気相原料とした C VD法により形成できることが知られている。 典型的な場合、 C VDプロセス は減圧環境下、 約 4 8 0 ° C、 あるいはそれ以上の温度で実行される。 このよう にして形成された T a 205膜は、 さらに酸素雰囲気中において熱処理され、 その 結果、 膜中の酸素欠損が解消され、 また膜自体が結晶ィ匕する。 このようにして結 晶化された T a 205膜は大きな比锈電率を示す。
チャネル領域中のキヤリァモビリティーを向上させる観点からは、 高誘電体ゲ ート酸化膜とシリコン基板との間に、 1 n m以下、 好ましくは 0 . 8 n m以下の 厚さのきわめて薄いベース酸化膜を介在させるのが好ましい。 ベース酸化膜は、 非常に薄い必要があり、 厚さが厚いと高誘電体膜をグート絶縁膜に使った効果が 相殺される。 一方、 力かる非常に薄いベース酸化膜は、 シリコン基板表面を一様 に覆う必要があり、 また界面準位等の欠陥を形成しないことが要求される。 従来より、 薄いゲート酸化膜はシリコン基板の急速熱酸化 (R T O) 処理 (例 えば、 特許文献 1参照) により形成されるのが一般的であるが、 熱酸化膜を所望 の 1 n m以下の厚さに形成しょうとすると、 膜形成時の処理温度を低下させる必 要がある。 しかし、 このように低温で形成された熱酸化膜は界面 立等の欠陥を 含みやすく、 高誘電体ゲート酸化膜のベース酸化膜としては不適当である。 図 1は高誘電体ゲート絶縁膜を有する高速半導体装置 1 0の概略的な構成を、 示す。
図 1を参照するに、 半導体装置 1 0はシリコン基板 1 1上に形成されており、 シリコン基板 1 1上には薄いベース酸化膜 1 2を介して、 T a 205, A 1 2O3, Z r O2, H f O2, Z r S i 04, H f S i 04等の高誘電体ゲート絶縁膜 1 3が形 成され、 さらに前記高誘電体グート絶縁膜 1 3上にはゲート電極 1 4が形成され ている。
図 1の半導体装置 1 0では、 前記ベース酸化膜層 1 2の表面部分に、 シリコン 基板 1 1とベース酸化膜 1 2との間の界面の平坦性が保たれるような範囲で窒素
(N) がドープされ、 酸窒化膜 1 2 Aが形成されている。 シリコン酸化膜よりも 比誘電率の大きい酸窒化膜 1 2 Aをベース酸化膜 1 2中に形成することにより、 ベース酸化膜 1 2の熱酸化膜換算膜厚をさらに減少させることが可能になる。 先にも説明したように、 力かる高速半導体装置 1 0では、 前記ベース酸化膜 1 2の厚さは可能な限り薄いのが好ましい。
しかし、 ベース酸化膜 1 2を 1 n m以下、 例えば 0 . 8 n m以下、 さらには 2 〜 3原子層に対応する 0 . 4 n m前後の厚さで一様に、かつ安定に形成するのは、 従来より非常に困難であった。
また、 ベース酸化膜 1 2上に形成される高誘電体ゲート絶縁膜 1 3の機能を発 現させるためには、 堆積した高誘電体膜 1 3を熱処理により結晶化し、 また酸素 欠損捕償を行う必要があるが、 このような熱処理を高誘電体膜 1 3に対して行つ た場合、 ベース酸化膜 1 2の膜厚が増大してしまい、 高誘電体ゲート絶縁膜 1 3 を使うことによるグート絶縁膜の実効的な膜厚の減少が、 実質的に相殺されてし まっていた。
このような熱処理に伴うベース酸化膜 1 2の膜厚の増大は、 シリコン基板 1 1 とベース酸ィ匕膜 1 2の界面における、 酸素原子およびシリコン原子の相互拡散、 およびこれに伴うシリケ一ト遷移層の形成、 あるいはシリコン基板中への酸素の 侵入によるベース酸化膜 1 2の成長の可能性を示唆している。 このようなベース 酸化膜 1 2の熱処理に伴う膜厚増大の問題は、 特にベース酸化膜 1 2の膜厚が、 ベース酸化膜として望ましい ¾ 子層以下の膜厚まで低減された場合、 非常に深 刻な問題になる。 特許文献 1 特開平 5— 4 7 6 8 7号公報 発明の開示
本発明は、 上記課題を解決した新規で有用な基板処理装置を提供することを目 的とする。
本発明のより詳細な目的は、 シリコン基板表面に非常に薄レヽ、 典型的には 2〜 3原子層分の厚さの酸化膜を安定に形成し、 さらにこれを窒化して酸窒化膜を形 成することのできる基板処理装置を提供することにある。
さらに、 本発明のより詳細な目的は、 シリコン基板表面に非常に薄い、 典型的 には 2〜3原子層分の厚さの酸化膜を安定に形成し、 さらにこれを安定に窒化で きる基板処理装置を含んだ、 クラスタ型の基板処理システムを提供することにあ る。
さらに、 本宪明の他の目的は、 上記のような課題を解決すると共に、 酸化膜の 均一性やスループットの改善、 及ぴコンタミネーションの防止を図るように構成 された基板処理装置を提供することを目的とする。
本努明は、 上記目的を達成するため、 以下のような特徴を有する。
本発明によれば、 ヒータ部に対向する位置に被処理基板を支持すると共に、 被 処理基板を保持する保持部材を回転させることにより、 被処理基板の温度分布を 均一に保ち、 被処理基板の反りを抑制することができ、 被処理基板の成膜処理を 安定、 且つ効率良く行える。 さらに、 処理容器の内壁を石英製の不透明ケースで 覆うことにより、 酸化膜の均一性やスループットの改善、 及ぴコンタミネーショ ンの防止を図ることができ、 且つ処理容器の内壁が紫外線により酸化することを 防止できると共に、 断熱効果により処理容器が高温になることを防止して処理容 器の寿命を延ばすことができる。
また、本発明によれば、不透明ケースが、保持部材に保持された被処理基板の周 囲を囲むように形成された側面ケースと、 側面ケースの上部を覆うように取り付 けられた上部ケースと、 側面ケースの下部を覆うように取り付けられた下部ケー スとを組み合わせたものであり、 処理空間の内部構成に応じた任意の形状に製作 することができる。
また、本発明によれば、不透明ケースがヒータ部の外周を覆う筒状ケースを有す ることにより、 ヒータ部からの熱が外周側に されることを防止して被処理基 板に対する加熱をより効率良く行うことができる。
また、本発明によれば、処理容器の側面に紫外線を遮断する UVガラス窓を設け ることにより、 紫外線が照射されているときでも外部から処理空間内部を目視す ることができる。
また、本発明によれば、保持部材が被処理基板の下面に対し点接触で支持するこ とにより、 被処理基板を浮かした状態で加熱することが可能になり、 被処理基板 力沖心部より周縁部が高温に加熱されて温度差による反りを生じたとしてもカロ熱 温度が均一になった時点で被処理基板を水平状態に復帰させることができる。 図面の簡単な説明
図 1は、高誘電体グート絶縁膜を有する半導体装置装置の構成を示す図である。 図 2は、 本発明になる基板処理装置の一実施例の構成を示す正面図である。 図 3は、 本発明になる基板処理装置の一実施例の構成を示す側面図である。 図 4は、 図 2中 A— A線に沿う横断面図である。
図 5は、 処理容器 2 2の下方に配置された機器の構成を示す正面図である。 図 6は、 処理容器 2 2の下方に配置された機器の構成を示す平面図である。 図 7は、 処理容器 2 2の下方に配置された機器の構成を示す側面図である。 図 8 Aは排気経路 3 2の構成を示す平面図である。
図 8 Bは排気経路 3 2の構成を示す正面図である。
図 8 Cは B— B線に沿う縦断面図である。
図 9は、 処理容器 2 2及びその周辺機器を拡大して示す側面縦断面図である。 図 1 0は、 蓋部材 8 2を外した処理容器 2 2の内部を上方からみた平面図であ る。
図 1 1は、 処理容器 2 2の平面図である。
図 1 2は、 処理容器 2 2の正面図である。
図 1 3は、 処理容器 2 2の底面図である。
図 1 4は、 図 1 2中 C— C線に沿う縦断面図である。
図 1 5は、 処理容器 2 2の右側面図である。
図 1 6は、 処理容器 2 2の左側面図である。
図 1 7は、 紫外線光源 8 6, 8 7の取付構造を拡大して示す縦断面図である。 図 1 8は、 ガス噴射ノズル部 9 3の構成を拡大して示す縦断面図である。 図 1 9は、 ガス嘖射ノズル部 9 3の構成を拡大して示す横断面図である。 図 2 0は、 ガス嘖射ノズル部 9 3の構成を拡大して示す正面図である。
図 2 1は、 ヒータ部 2 4の構成を拡大して示す縦断面図である。
図 2 2は、 ヒータ部 2 4を拡大して示す底面図である。
図 2 3は、 第 2の流入口 1 7 0及び第 2の流出口 1 7 4の取付構造を拡大して 示す縦断面図である。
図 2 4は、 フランジ 1 4 0の取付構造を拡大して示す縦断面図である。
図 2 5は、 クランプ機構 1 9 0の上端部の取付構造を拡大して示す縦断面図で ある。
図 2 6は、 S i Cヒータ 1 1 4及び S i Cヒータ 1 1 4の制御系の構成を示す 図である。
図 2 7 Aは、 石英ペルジャ 1 1 2の構成を示す平面図である。
図 2 7 Bは、 石英ペルジャ 1 1 2の構成を示す縦断面図である。
図 2 8 Aは、 石英ペルジャ 1 1 2の構成を上方からみた斜視図である。
図 2 8 Bは、 石英ペルジャ 1 1 2の構成を下方からみた斜視図である。
図 2 9は、 減圧システムの排気系統の構成を示す系統図である。
図 3 O Aは、 保持部材 1 2 0の構成を示す平面図である。
図 3 O Bは、 保持部材 1 2 0の構成を示す平面図である。
図 3 1は、 ヒータ部 2 4の下方に配置された回転駆動部 2 8の構成を示す縦断 面図である。
図 3 2は、 回転駆動部 2 8を拡大して示す縦断面図である。
図 3 3 Aは、 ホルダ冷却機構 2 3 4の構成を示す横断面図である。
図 3 3 Bは、 ホルダ冷却機構 2 3 4の構成を示す側面図である。
図 3 4は、 回転位置検出機構 2 3 2の構成を示す横断面図である。
図 3 5 Aは、 回転位置検出機構 2 3 2の非検出状態を示す図である。
図 3 5 Bは、 回転位置検出機構 2 3 2の検出状態を示す図である。
図 3 6 Aは、 回転位置検出機構 2 3 2の受光素子 2 6 8の出力信号 Sを示す波 形図である。
図 3 6 Bは、 回転位置判定回路 2 7 0力 ら出力されるパルス信号 Pの波形図で ある。
図 3 7は、 制御回路が実行する回転位置制御処理を説明するためのフ口一チヤ 一トである。
図 3 8は、 窓 7 5, 7 6の取付箇所を上方からみた横断面図である。
図 3 9は、 窓 7 5を拡大して示す横断面図である。
図 4 0は、 窓 7 6を拡大して示す横断面図である。
図 4 1 Aは、 下部ケース 1 0 2の構成を示す平面図である。
図 4 1 Bは、 下部ケース 1 0 2の構成を示す側面図である。
図 4 2 Aは、 側面ケース 1 0 4の構成を示す平面図である。
図 4 2 Bは、 側面ケース 1 0 4の構成を示す正面図である。 図 4 2 Cは、 側面ケース 1 0 4の構成を示す背面図である。
図 4 2 Dは、 側面ケース 1 0 4の構成を示す左側面図である。
図 4 2 Eは、 側面ケース 1 0 4の構成を示す右側面図である。
図 4 3 Aは、 上部ケース 1 0 6の構成を示す底面図である。
図 4 3 Bは、 上部ケース 1 0 6の構成を示す側面図である。
図 4 4 Aは、 円筒状ケース 1 0 8の構成を示す平面図である。
図 4 4 Bは、 円筒状ケース 1 0 8の構成を示す側面縦断面図である。
図 4 4 Cは、 円筒状ケース 1 0 8の構成を示す側面図である。
図 4 5は、 リフタ機構 3 0を拡大して示す縦断面図である。
図 4 6は、 リフタ機構 3 0のシール構造拡大して示す縦断面図である。
図 4 7 Aは、 図 2の基板処理装置 2 0を使って被処理基板 Wのラジカル酸化を 行う場合を示す側面図おょぴ平面図である。
図 4 7 Bは図 4 7 Aの構成を示す平面図である。
図 4 8は、 基板処理装置 2 0を使って行なわれる基板の酸化処理工程を示す図 である。 '
図 4 9は、 本発明で使われる X P Sによる膜厚測定方法を示す図である。 図 5 0は、 本発明で使われる X P Sによる 測定方法を示す別の図である。 図 5 1は、 基板処理装置 2 0により酸化膜を形成する際に観測される酸化酵 成長の停留現象を概略的に示す図である。
図 5 2 Aは、 シリコン基板表面における酸化膜形成過程 1を示す図である。 図 5 2 Bは、 シリコン基板表面における酸ィ匕膜形成過程 2を示す図である。 図 5 3は、 本発明の第 1実施例にお!/、て得られた酸化膜のリーク電流特性を示 す図である。
図 5 4 Aは、 図 5 3のリーク電流特性の原因を説明する図である。
図 5 4 Bは、 図 5 3のリーク電流特性の原因を説明する図である。
図 5 5 Aは、 基板処理装置 2 0のおいて生じる酸化膜形成工程 1を示す図であ る。
図 5 5 Bは、 基板処理装置 2 0のおいて生じる酸化膜形成工程 2を示す図であ る。 ' 図 5 5 Cは、 基板処理装置 2 0のおレ、て生じる酸化膜形成工程 3を示す図であ る。
図 5 6は、 基板処理装置 2 0において使われるリモートプラズマ源の構成を示 す図である。
図 5 7は、 R Fリモートプラズマとマイクロ波プラズマの特性を比較する図で ある。
図 5 8は、 R Fリモートプラズマとマイクロ波プラズマの特性を比較する別の 図である。
図 5 9 Aは、 基板処理装置 2 0を使って行われる酸化膜の窒ィ匕処理を示す側面 図である。
図 5 9 Bは、 基板処理装置 2 0を使って行われる酸化膜の窒ィヒ処理を示す平面 図である。
図 6 0 Aは、 基板処理装置 2 0により S i基板上に熱酸化処理により 2 . O n mの厚さに形成された酸化膜を、 リモートプラズマ部 2 7を使って、 表 2に示す 条件で窒ィ匕した場合の前記酸化膜中における窒素濃度分布を示し図である。 図 6 O Bは、 同じ酸化膜中における窒素濃度分布と酸素濃度分布との関係を示 す図である。
図 6 1は、 本発明で使われる X P Sの概略を示す図である。
図 6 2は、 酸化膜のリモートプラズマによる窒化時間と膜中窒素濃度との関係 を示す図である。
図 6 3は、 酸化膜の窒化時間と、 窒素の膜内分布との関係を示す図である。 図 6 4は、 酸化膜の窒化処理により形成された酸窒化膜のウェハごとの 変 動を示す図である。
図 6 5は、 本実施例による酸化膜の窒化処理に伴う膜厚増を示す図である。 発明の実施をするための最良の形態
以下図面と共に本突明の実施の形態について説明する。
図 2は本発明になる基板処理装置の一実施例の構成を示す正面図である。 図 3 は本発明になる基板処理装置の一実施例の構成を示す側面図である。 図 4は図 2 中 A— A線に沿う横断面図である。
図 2乃至図 4に示されるように、 基板処理装置 2 0は、 後述するように、 シリ コン基板の紫外光ラジカル酸化処理と、 カゝかる紫外光ラジカル酸化処理により形 成された酸化膜の高周波リモートプラズマを使ったラジカル窒ィ匕処理とを、 連続 して行うことができるように構成されている。
基板処理装置 2 0の主要構成は、内部に処理空間が画成された処理容器 2 2と、 処理容器 2 2の内部に挿入された被処理基板 (シリコン基板) を所定温度に加熱 するヒータ部 2 4と、 処理容器 2 2の上部に搭載された紫外線照射部 2 6と、 窒 素ラジカルを供給するリモートプラズマ部 2 7と、 被処理基板を回転させる回転 駆動部 2 8と、処理空間に挿入された被処理基板を昇降させるリフタ機構 3 0と、 処理容器 2 2の内部を減圧するための排気経路 3 2と、 処理容器 2 2の内部にガ ス (窒素ガス、 酸素ガス等のプロセスガス) を供給するためのガス供給部 3 4と カ らなる。
また、 基板処理装置 2 0は、 上記各主要構成部を支持するためのフレーム 3 6 を有する。 フレーム 3 6は、 鉄骨を立体的に組み合わせたものであり、 床面に載 置される台形状の底部フレーム 3 8と、 底部フレーム 3 8の後部より垂直方向に 起立された垂直フレーム 4 0, 4 1と、 垂直フレーム 4 0の中間部より水平方向 に延在するように横架された中間フレーム 4 2と、 垂直フレーム 4 0, 4 1の上 端部より水平方向に横架された上部フレーム 4 4とから構成されている。
底部フレーム 3 8には、 冷却水供給部 4 6、 電磁弁からなる排気用バルブ 4 8 a, 4 8 b、 ターポ分子ポンプ 5 0、 真空管路 5 1、 紫外線照射部 2 6の電源ュ ニット 5 2、 リフタ機構 3 0の駆動部 1 3 6、 ガス供給部 3 4などが搭載されて レ、る。
垂直フレーム 4 0の内部には、 各種ケーブルが揷通されるケーブルダクト 4 0 aが形成されている。 また、 垂直フレーム 4 1の内部には、 排気ダクト 4 1 aが 形成されている。 さらに、 垂直フレーム 4 0の中間部に固定されたブラケット 5 8には、 緊急停止スィッチ 6 0が取り付けられ、 垂直フレーム 4 1の中間部に固 定されたブラケット 6 2には、 冷却水による温度調整を行う 調整器 6 4が取 り付けられている。 中間フレーム 4 2には、 上記処理容器 2 2、 紫外線照射部 2 6、 リモートブラ ズマ部 2 7、 回転駆動部 2 8、 リフタ機構 3 0、 UVランプコントローラ 5 7が 支持されている。 また、 上部フレーム 4 4には、 ガス供給部 3 4から引き出され た複数のガス管路 5 8が連通されたガスボックス 6 6、 イオンゲージコントロー ラ 6 8、 圧力制御を行う A P Cコントローラ 7 0、 ターボ分子ポンプ 5 0を制御 する TMPコントローラ 7 2などが搭載されている。
図 5は処理容器 2 2の下方に配置された βの構成を示す正面図である。 図 6 は処理容器 2 2の下方に配置された機器の構成を示す平面図である。 図 7は処理 容器 2 2の下方に配置された βの構成を示す側面図である。 図 8 Αは排気経路 3 2の構成を示す平面図、 図 8 Bは排気経路 3 2の構成を示す正面図、 図 8 Cは B一 B線に沿う縦断面図である。
図 5乃至図 7に示されるように、 処理容器 2 2の後部下方には、 処理容器 2 2 内部のガスを排気する排気経路 3 2が設けられている。 この排気経路 3 2は、 横 幅寸法が処理容器 2 2の内部に形成された処理空間の横幅と略同一寸法に形成さ れた長方形状の排気口 7 4と連通するように取り付けられている。
このように、 排気口 7 4が処理容器 2 2内部の横幅寸法に応じた長さに延在形 成されているため、 処理容器 2 2の前部 2 2 a側から内部に供給されたガスは、 後述するように処理容器 2 2の内部を通過して後方へ向かう流れとなり、 一定流 速 (層流) のまま排気経路 3 2へ効率良く排気される。
図 8 A〜図 8 Cに示されるように、 排気経路 3 2は、 排気口 7 4に連通される 長方形状の開口部 3 2 aと、 開口部 3 2 aの左右側面が下方に向けてテーパ状に 傾斜したテーパ部 3 2 bと、 テーパ部 3 2 bの下端で通路面積が絞られた底部 3 2 cと、 底部 3 2 cから前方に突出する L字状の主排気管 3 2 dと、 主排気管 3 2 dの下端に開口する排出口 3 2 eと、 テーパ部 3 2 bの下部 3 2 f に開口する バイパス用排出口 3 2 gとを有する。 排出口 3 2 eは、 ターボ分子ポンプ 5 0の 吸気口に連通される。 また、 バイパス用排出口 3 2 gは、 バイパス管路 5 1 aに 連通される。
図 5乃至図 7に示されるように、 処理容器 2 2の排気口 7 4から排出されたガ スは、 ターボ分子ポンプ 5 0の吸引力により長方形状に形成された開口部 3 2 a から流入してテーパ部 3 2 を通過して底部 3 2 cに至り、 主排気管 3 2 d及ぴ 排出口 3 2 eを介してターボ分子ポンプ 5 0に導かれる。
ターボ分子ポンプ 5 0の吐出管 5 0 aは、 バルブ 4 8 aを介して真空管路 5 1 に連通されている。 そのため、 処理容器 2 2の内部に充填されたガスは、 パルプ 4 8 aが開弁されると、 ターボ分子ポンプ 5 0を介して真空管路 5 1へ排出され る。 また、 排気経路 3 2のバイパス用排出口 3 2 gには、 バイパス管路 5 1 aが 接続されており、 このパイパス管路 5 1 aは、 バルブ 4 8 bの開弁により真空管 路 5 1と連通される。 '
ここで、 本発明の要部を構成する処理容器 2 2及ぴその周辺機器の構成につい て説明する。
〔処理容器 2 2の構成〕
図 9は処理容器 2 2及びその周辺機器を拡大して示す側面縦断面図である。 図 1 0は蓋部材 8 2を外した処理容器 2 2の内部を上方からみた平面図である。 図 9及ぴ図 1 0に示されるように、 処理容器 2 2は、 チャンバ 8 0の上部開口 を蓋部材 8 2により閉塞する構成であり、 内部がプロセス空間 (処理空間) 8 4 になっている。
処理容器 2 2は、 前部 2 2 aにガスが供給される供給口 2 2 gが形成され、 後 部 2 2 bに搬送口 9 4が形成されている。 供給口 2 2 gには、 後述するガス噴射 ノズル部 9 3力 S設けられ、.搬送口 9 4には後述するグートバルブ 9 6が連通され る。
図 1 1は処理容器 2 2の平面図である。図 1 2は処理容器 2 2の正面図である。 図 1 3は処理容器 2 2の底面図である。 図 1 4は図 1 2中 C _ C線に沿う縦断面 図である。 図 1 5は処理容器 2 2の右側面図である。 図 1 6は処理容器 2 2の左 側面図である。
図 1 1乃至図 1 6に示されるように、 処理容器 2 2の底部 2 2 cには、 ヒータ 部 2 4が挿入される開口 7 3と、 前述した長方形状に開口した排気口 7 4とが設 けられている。 排気口 7 4には、 前述した排気経路 3 2が連通される。 尚、 チヤ ンバ 8 0及び蓋部材 8 2は、 例えば、 アルミ合金を切肖 (J加工して上記のような形 状に加工したものである。 また、処理容器 2 2の右側面 2 2 eには、プロセス空間 8 4を視くための第 1、 第 2の窓 7 5, 7 6と、 プロセス空間 8 4の温度を測定するためのセンサュニッ ト 7 7が取り付けられている。
本実施例では、 右側面 2 2 eの中央より左側に楕円形に形成された第 1の窓 7 5が配置され、 右側面 2 2 eの中央より右側に円形に形成された第 2の窓 7 6が 配置されているので、 両方向からプロセス空間 8 4に保持された被処理基¾\¥の 状態を直接目視することができるので、 被処理基板 Wの成膜状況などを観測する のに有利である。
尚、 窓 7 5, 7 6は、 熱電対などの温度測定器具を挿入する場合に処理容器 2 2から外すことが可能な構成になっている。
また、 処理容器 2 2の左側面 2 2 dには、 プロセス空間 8 4の圧力を測定する ためのセンサュエツト 8 5が取り付けられている。このセンサュニット 8 5には、 測定レンジの異なる 3個の圧力計 8 5 a〜8 5 cが設けられており、 プロセス空 間 8 4の圧力変化を高精度に測定することが可能である。
また、 プロセス空間 8 4を形成する処理容器 2 2の内壁の四隅には、 R形状に 形成された湾曲部 2 2 hが設けられており、 この湾曲部 2 2 hにより応力集中を 回避すると共に、 ガス噴射ノズル部 9 3から噴射されたガス流が安定ィ匕するよう に作用する。
〔紫外線照射部 2 6の構成〕
図 8乃至図 1 1に示されるように、 紫外線照射部 2 6は、 蓋部材 8 2の上面に 取り付けられている。 この紫外線照射部 2 6の筐体 2 6 aの内部には、 円筒状に 形成された 2本の紫外線光源 (UVランプ) 8 6, 8 7が所定間隔で に配置 されている。
この紫外線光源 8 6, 8 7は、 波長が 1 7 2 n mの紫外線を発光する特性を有 しており、 蓋部材 8 2に形成された横方向に延在形成された長方形状の開口 8 2 a , 8 2 bを介してプロセス空間 8 4に保持された被処理基板 Wの上面に対向す るようにプロセス空間 8 4の前側半分 (図 8では左半分) の領域に紫外線を照射 する位置に設けられている。
また、 ¾/镍状に延在する紫外線光源 8 6, 8 7から被処理基 ¾W上に照射され る紫外線の強度分布は、 一様ではなく、 被処理基 ¾Wの半径方向の位置によって 変化しており、 一方が被処理基板 Wの外周側ほど減少し、 他方が内周側ほど減少 する。 このように紫外線光源 8 6 , 8 7は、 単独では単調に変ィ匕する紫外線強度 分布を被処理基板 W上に形成するが、 被処理基板 Wに対する紫外線強度分布の変 化方向が逆になつている。
そのため、 紫外線光源 8 6, 8 7の駆動パワーを UVランプコントローラ 5 7 の制御により最適化することにより、 被処理基板 W上に非常に一様な紫外線強度 分布を実現することが可能になる。
尚、 かかる駆動パワーの最適値は、 紫外線光源 8 6, 8 7への駆動出力を変化 させて成膜結果を評価することで最適値を求めることができる。
また、 被処理基板 Wと紫外線光源 8 6, 8 7の円筒形状の円筒芯の中心との距 離は、 例えば、 5 0〜 3 0 0 mmに設定されており、 望ましくは 1 0 0〜 2 0 0 mm程度が良い。
図 1 7は紫外線光源 8 6, 8 7の取付構造を拡大して示す縦断面図である。 図 1 7に示されるように、 紫外線光源 8 6, 8 7は、 紫外線照射部 2 6の筐体 2 6 aの底部開口 2 6 bに対向する位置に保持されている。 そして、 底部開口 2 6 bは、 プロセス空間 8 4に保持された被処理基板 Wの上面に対向する位置に開 口すると共に、 紫外線光源 8 6 , 8 7の全長よりも長い横幅寸法の長方形状に形 成されている。
底部開口 2 6 bの周縁部 2 6 cには、 透明な石英により形成された透明窓 8 8 が取り付けられている。 透明窓 8 8は、 紫外線光源 8 6, 8 7から照射された紫 外線をプロセス空間 8 4に透過する共に、 プロセス空間 8 4が減圧されたときの 圧力差にも耐える強度を有している。
また、 透明窓 8 8の下面周縁部には、 底部開口 2 6 bの周縁部 2 6 cの溝内に 装着されたシール部材 (Oリング) 8 9が当接するシール面 8 8 aが形成されて いる。 このシール面 8 8 aは、 シール部材 8 9を保護するためのコーティングま たは黒石英により形成されている。 これにより、 シール部材 8 9の材質が:^せ ず、 劣化を防止してシール性能を確保すると共に、 シール部材 8 9の材質がプロ セス空間 8 4に侵入することを防止する。 また、 透明窓 8 8の上面周縁部には、 ステンレス製のカバー 8 8 bが当接して おり、 透明窓 8 8を締結部材 9 1により挟持する際の強度を高めることで、 締結 時の押圧力により透明窓 8 8が破損することを防止する。
また、 本実施例では、 紫外線光源 8 6, 8 7及び透明窓 8 8がガス噴射ノズル 部 9 3から噴射されたガス流の流れ方向に対して直交する方向に延在するように 設けたが、 これに限らず、 例えば、 紫外線光源 8 6, 8 7及び透明窓 8 8をガス 流の流れ方向に延在する方向に設けるようにしても良い。
〔ガス噴射ノズル部 9 3の構成〕
図 9及ぴ図 1 0に示されるように、 処理容器 2 2は、 前部 2 2 aに開口する供 給口 2 2 gに窒素ガスまたは酸素ガスをプロセス空間 8 4内部に噴射するガス嘖 射ノズル部 9 3が設けられている。 このガス嘖射ノズル部 9 3は、 後述するよう にプロセス空間 8 4の横幅方向に複数の噴射口 9 3 aがー列に配置されており、 複数の嘖射ロ 9 3 aから嘖射されたガスが層流状態で被処理基板 Wの表面を通過 するようにプロセス空間 8 4の内部に安定した流れを発生させる。
尚、プロセス空間 8 4を閉塞する蓋部材 8 2の下面と被処理基板 との距離は、 例えば、 5〜1 0 O mmに設定されており、 望ましくは 2 5〜8 5 mm程度が良 レ、。
〔ヒータ部 2 4の構成〕
図 9及び図 1 0に示されるように、 ヒータ部 2 4は、 アルミ合金製のベース 1 1 0と、 ベース 1 1 0上に固定された透明な石英ペルジャ 1 1 2と、 石英べルジ ャ 1 1 2の内部空間 1 1 3に収納された S i Cヒータ 1 1 4と、 不透明石英によ り形成された熱反射部材 (リフレクタ) 1 1 6と、 石英ペルジャ 1 1 2の上面に 載置され S i Cヒータ 1 1 4により加熱される S i Cサセプタ (加熱部材) 1 1 8と、 を備えた構成である。
そのため、 S i Cヒータ 1 1 4及び熱反射部材 1 1 6は、 石英べルジャ 1 1 2 の内部空間 1 1 3に隔離されており、 プロセス空間 8 4でのコンタミネーシヨン が防止される。 また、 洗浄工程においては、 プロセス空間 8 4内に露出された S i Cサセプタ 1 1 8のみを洗浄すれば良いので、 S i Cヒータ 1 1 4及び熱反射 部材 1 1 6を洗浄する手間を省略することが可能になる。 被処理基板 Wは、 保持部材 120により S i Cサセプタ 118の上方に対向す るように保持される。 一方、 S i Cヒータ 114は、 熱反射部材 116の上面に 載置されており、 S i Cヒータ 114の発熱は、 S i Cサセプタ 118に擁さ れると共に、 熱反射部材 116で反射された熱も S i Cサセプタ 118に ¾) "さ れる。 尚、 本実施例の S i Cヒータ 114は、 S i Cサセプタ 118力、ら僅;^に 離間した状態で約 700。 Cの温度に加熱される。
S i Cサセプタ 118は、 熱伝導率が良いので、 S i Cヒータ 114力 らの熱 を被処理基 ¾wに効率良く伝達して被処理基板 wが周縁部分と中心部分との温度 差を無くして、 被処理基板 Wが温度差で反ることを防止する。
〔回転駆動部 28の構成〕
図 9及ぴ図 10に示されるように、 回転駆動部 28は、 S i Cサセプタ 118 の上方で被処理基板 Wを保持する保持部材 120と、 上記ベース 110の下面に 固定されたケーシング 122と、 ケーシング 122により画成された内部空間 1 24内で保持部材 120の軸 120 dに結合されたセラミック軸 126を回転駆 動させるモータ 128と、 モータ 128の回転を伝達するためのマグネットカツ プリング 130とから構成されている。
回転駆動部 28においては、 保持部材 120の軸 120 dが石英べノレジャ 11 2を貫通してセラミック軸 126に結合され、 セラミック軸 126とモータ 12 8の回転軸との間がマグネットカップリング 130を介して非接触で駆動力を伝 達する構成であるので、 回転駆動系の構成がコンパクトになっており、 装置全体 の小型ィヒにも寄与している。
保持部材 120は、 軸 120 dの上端より水平方向に放射状 (周方向に 120 度間隔) に延在する腕部 120 a〜l 20 cを有する。 被処理基板 Wは、 保持部 材 120の腕部 120 a〜 120 cに载置された状態で保持される。 このように 保持された被処理基; ¾Wは、 保持部材 120と共にモータ 128により一定の回 転速度で回転されており、 これにより S i Cヒータ 114の発熱による温度分布 が平均化されると共に、 紫外線光源 86, 87から照射される紫外線の強度分布 が均一になり、 表面に均一な成膜が施される。 - 〔リフタ機構 30の構成〕 図 9及ぴ図 10に示されるように、 リフタ機構 30は、 チャンパ 80の下方、 且つ石英ペルジャ 1 1 2の側方に設けられ、 チャンバ 80内に挿入された昇降ァ ーム 132と、 昇降アーム 1 32に連結された昇降軸 1 34と、 昇降軸 1 34を 昇降させる駆動部 136とから構成されている。 昇降アーム 132は、 例えば、 セラミックまたは石英により形成されており、 図 10に示されるように、 昇降軸 1 34の上端が結合された結合部 132 aと、 S i Cサセプタ 1 18の外周を囲 む環状部 132 bとを有する。 そして、 昇降アーム 1 32には、 環状部 1 32 b の内周より中心に延在する 3本の当接ピン 1 38 a〜l 38 cが周方向に 120 度間隔で設けられている。
当接ピン 138 a〜l 38 cは、 S i Cサセプタ 1 18の外周から中心に向か つて延在形成された溝 1 18 a〜l 18 cに嵌合する位置に降下しており、 昇降 アーム 132が上昇することにより S i Cサセプタ 1 1 8の上方に移動する。 ま た、 当接ピン 1 38 a〜 1 38 cは、 S i Cサセプタ 1 18の中心より外周側に 延在するように形成された保持部材 1 20の腕部 1 20 a ~ 1 20 cと干渉しな いように配置されている。
昇降アーム 1 32は、 搬送ロボット 98のロボットハンドが被処理基板 Wを取 り出す直前に上記当接ピン 1 38 a〜 138 cを被処理基板 Wの下面に当接させ て被処理基ネ を保持部材 1 20の腕部 1 20 a〜 120 cより持ち上げる。 こ れにより、 搬送ロボット 98の口ポットハンドは、 被処理基 «Wの下方に移動す ることが可能になり、 昇降アーム 132が降下することで被処理基板 Wを保持し て搬送することが可能になる。
〔石英ライナ 100の構成〕
図 9及び図 10に示されるように、 処理容器 22の内部には、 紫外線を遮断す るため、 例えば白色などの不透明石英により形成された石英ライナ 100が装着 されている。 また、 石英ライナ 100は、 後述するように下部ケース 102と、 側面ケース 104と、 上部ケース 106と、 石英ペルジャ 1 1 2の外周を覆う円 筒状ケース 108とを組み合わせた構成になっている。
この石英ライナ 100は、 プロセス空間 84を形成する処理容器 22及び蓋部 材 82の内壁を覆うことにより、 処理容器 22及ぴ蓋部材 82の熱膨張を防止す る断熱効果が得られると共に、 処理容器 2 2及び蓋部材 8 2の内壁が紫外線によ つて酸化することを防止し、 且つ金属のコンタミネーションを防止する役目を有 してレ、る。
〔リモートブラズマ部 2 7の構成〕
図 9及ぴ図 1 0に示されるように、 プロセス空間 8 4に窒素ラジカルを供給す るリモートプラズマ部 2 7は、処理容器 2 2の前部 2 2 aに取り付けられており、 供給管路 9 0を介して処理容器 2 2の供給口 9 2に連通されている。
このリモートプラズマ部 2 7では、 A rなどの不活性ガスと共に窒素ガスが供 給され、 これをプラズマにより活性化することにより、 窒素ラジカルを形成する ことが可能である。 このようにして形成された窒素ラジカルは、 被処理 £¾wの 表面に沿って流れ、 基板表面を窒化する。
また、窒素ガスの他に、 02, NO, N20, N02, NH3ガス等を用いた酸化、 酸窒化ラジカルプ口セスも実施可能である。
〔ゲートバルブ 9 6の構成〕
図 9及び図 1 0に示されるように、 処理容器 2 2の後部には、 被処 S¾¾Wを 搬送するための搬送口 9 4が設けられている。 この搬送口 9 4は、 ゲートバルブ 9 6により閉塞されており、 被処理基ネ^ Wを搬送するときのみゲートバルブ 9 6 の開動作により開放される。
ゲートバルブ 9 6の後方には、 搬送ロボット 9 8が設けられている。 そして、 ゲートパルプ 9 6の開動作に合わせて搬送ロボット 9 8の口ポットハンドが搬送 口 9 4よりプロセス空間 8 4内部に進入して被処理基板 Wの交換作業を行う。 〔上記各構成部の詳細〕
( 1 ) ここで、 上記ガス噴射ノズル部 9 3の構成について詳細に説明する。 図 1 8はガス噴射ノズル部 9 3の構成を拡大して示す縦断面図である。 図 1 9 はガス嘖射ノズル部 9 3の構成を拡大して示す横断面図である。 図 2 0はガス噴 射ノズル部 9 3の構成を拡大して示す正面図である。
図 1 8乃至図 2 0に示されるように、 ガス噴射ノズル部 9 3は、 前面中央に上 記リモートプラズマ部 2 7の供給管路 9 0が連通される連通孔 9 2を有し、 連通 孔 9 2の上方に複数の噴射孔 9 3 a 〜9 3 a nが横方向に一列に配設されたノ ズル板 9 3 b i〜 9 3 b 3が取り付けられている。 嘖射孔 9 3 a 丄〜 9 3 a nは、例 えば、 直径 1 mmの小孔であり、 1 0 mm間隔で設けられている。
また、 本実施例では、 小孔からなる噴射孔 9 3 a 〜 9 3 a nを設けたが、 これ に限らず、 例えば、 細いスリットを噴射孔として設ける構成としても良い。 また、 ノズル板 9 3 b 〜 9 3 b 3は、 ガス嘖射ノズル部 9 3の壁面に締結され ている。 そのため、 嘖射孔 9 3 & 1〜 9 3 a nから噴射されたガスは、 ガス噴射ノ ズル部 9 3の壁面より前方に流れる。
例えば、嘖射孔 9 3 a i〜 9 3 a nがパイプ状のノズル管路に設けられている場 合には、噴射孔 9 3 a i〜 9 3 a nから噴射されたガスの一部がノズル管路の後方 に回り込むような流れが生じてしまい、 プロセス空間 8 4内にガス溜まりが発生 して被処理基板 W周辺のガス流が安定しないという問題が生じる。
し力 しながら、 本実施例では、 噴射孔 9 3 a i〜 9 3 a nがガス噴射ノズル部 9 3の壁面に形成される構成であるので、 このようなガスがノズル後方に戻るとい う現象が発生せず、 被処理基ネ 周辺のガス流を安定した層流状態に保つことが 可能になる。 これにより、 被処理基板 W上の成膜が均一に形成される。
また、 各ノズル板 9 3 b 〜 9 3 b 3に対向する内壁には、 ガス溜まりとして機 能する凹部 9 3 c 〜 9 3 c 3が形成されている。 この凹部 9 3 c 3 c 3が噴 射孔 9 3 a i〜 9 3 a nの上流に設けられているので、各噴射孔 9 3 a x~ 9 3 a n 力 ら噴射されるガスの夫々の流速を平均ィ匕にすることができる。 これにより、 プ ロセス空間 8 4の全域における流速を平均化することが可能になる。
さらに、 各回部 9 3 c 〜 9 3 c 3は、 ガス噴射ノズル部 9 3を貫通するガス供 給孔 9 3 (^ 9 3 d 3が連通されている。 尚、 中央のガス供給孔 9 3 d 2は、 連 通孔 9 2と交差しないように横方向にずらした位置に形成されており、 クランク 形状に曲げられている。
そして、 中央のガス供給孔 9 3 d 2には、 第 1のマスフローコントローラ 9 7 aによって流 御されたガスがガス供給管路 9 9 2を介して供給される。 また、 ガス供給孔 9 3 d 2の左右に配置されたガス供給孔 9 3 d 9 3 d 3には、 第 2 のマスフローコントローラ 9 7 bによつて流量制御されたガスがガス供給管路 9 9 9 9 3を介して供給される。 また、 第 1のマスフローコントローラ 9 7 a及ぴ第 2のマスフローコントロー ラ 9 7 bは、 ガス供給管路 9 9 4, 9 9 5を介してガス供給部 3 4と接続されてお り、ガス供給部 3 4カ ら供給されるガスの流量を予め設定された流量に制御する。 第 1のマスフローコントローラ 9 7 a及ぴ第 2のマスフローコントローラ 9 7 bから供給されたガスは、 ガス供給管路 9 9 〜 9 9 3を介してガス供給孔 9 3 d i〜9 3 d 3に至り、 各凹部 9 3 c 〜9 3 c 3に充填された後、 噴射孔 9 3 a 〜 9 3 a nからプロセス空間 8 4に向けて噴射される。
プロセス空間 8 4内のガスは、 処理容器 2 2の前部 2 2 aの横幅方向に延在す る各ノズル板 9 3 b i〜9 3 b 3の嘖射孔 9 3 a 〜9 3 a nからプロセス空間 8 4の全幅に向かって噴射されるため、プロセス空間 8 4の全域で一定流速(層流) で処理容器 2 2の後部 2 2 b側へ流れる。
さらに、 処理容器 2 2の後部 2 2 b側には、 後部 2 2 bの横幅方向に延在する 長方形状の ^気口 7 4が開口しているため、 プロセス空間 8 4内のガスは、 後方 へ向かう流れとなり、 一定流速 (層流) のまま排気経路 3 2へ排気される。 また、 本実施例においては、 2系統の流量制御が可能であるので、 例えば、 第 1のマスフローコントローラ 9 7 aと第 2のマスフローコントローラ 9 7 bとで 異なる流量制御することも可能である。
これにより、 プロセス空間 8 4内に供給されるガスの流量 (流速) を異なるよ うに設定してプロセス空間 8 4内におけるガスの濃度分布を変ィヒさせることも可 能である。 さらには、 第 1のマスフローコントローラ 9 7 aと第 2のマスフロー コントローラ 9 7 bとで異なる種類のガスを供給することもでき、 例えば、 第 1 のマスフローコントローラ 9 7 aにより窒素ガスの流量制御を行い、 第 2のマス フローコントローラ 9 7 bにより酸素ガスの流 * lj御を行うことも可能である。 使用ガスとしては、 例えば、 酸素含有ガス、 窒素含有ガス、 並びに希ガス等が 挙げられる。
( 2 ) ここで、 ヒータ部 2 4の構成について詳細に説明する。
図 2 1はヒータ部 2 4の構成を拡大して示す縦断面図である。 図 2 2はヒータ 部 2 4を拡大して示す底面図である。
図 2 1及び図 2 2に示されるように、 ヒータ部 2 4は、 アルミ合金製のベース 1 1 0に石英ペルジャ 1 1 2を載置し、 処理容器 2 2の底部 2 2 cにフランジ 1
4 0を介して固定される。 そして、 石英べルジャ 1 1 2の内部空間 1 1 3には、
5 i Cヒータ 1 1 4及び熱反射部材 1 1 6が収納される。 そのため、 S i Cヒー タ 1 1 4及び熱反射部材 1 1 6は、 処理容器 2 2のプロセス空間 8 4から隔離さ れており、 プロセス空間 8 4のガスと接触せず、 コンタミネーシヨンが生じない 構成になっている。
S i Cサセプタ 1 1 8は、 S i Cヒータ 1 1 4と対向するように石英ペルジャ 1 1 2上に載置されており、 パイ口メータ 1 1 9によって温度が測定される。 こ のパイ口メータ 1 1 9は、 S i Cサセプタ 1 1 8が加熱されるのに伴って生じる 焦電効果 (パイ口電気効果) により S i Cサセプタ 1 1 8の温度を測定するもの であり、 制御回路では、 パイ口メータ 1 1 9により検出された温度信号から被処 理基板 Wの温度を推測し、 この推測温度に基づいて S i Cヒータ 1 1 4の発熱量 を制御する。
また、 石英ペルジャ 1 1 2の内部空間 1 1 3は、 後述するように処理容器 2 2 のプロセス空間 8 4を減圧するとき、 プロセス空間 8 4との圧力差が小さくなる ように減圧システムが作動して同時に減圧される。 そのため、 石英べ/レジャ 1 1 2は、 減圧工程時の圧力差を考慮して肉厚 (例えば 3 O mm程度) にする必要が なく、 熱容量が小さくて済み、 その分加熱時の応答性を高められる。
ベース 1 1 0は、 円盤状に形成されており、 中央に保持部材 1 2 0の軸 1 2 0 dが挿通される中央孔 1 4 2を有し、 内部には周方向に延在形成された冷却水用 の第 1の水路 1 4 4が設けられている。 ベース 1 1 0は、 アルミ合金製であるの で、 熱膨張率が大きいが、 第 1の水路 1 4 4に冷却水を流すことにより、 7令却さ れる。
また、 フランジ 1 4 0は、 ベース 1 1 0と処理容器 2 2の底部 2 2 cとの間に 介在する第 1のフランジ 1 4 6と、 第 1のフランジ 1 4 6の内周に嵌合する第 2 のフランジ 1 4 8とを組み合わせた構成である。 第 1のフランジ 1 4 6の内周面 には、 周方向に延在形成された冷却水用の第 2の水路 1 5 0が設けられている。. 上冷却水供給部 4 6から供給された冷却水は、 上記水路 1 4 4及び 1 5 0を流 れることにより、 S i Cヒータ 1 1 4の発熱により加熱されたベース 1 1 0及ぴ フランジ 140を冷却してベース 1 10及びフランジ 140の熱膨張を抑える。 また、 ベース 1 10の下面には、 水路 144に冷却水を流入させる第 1の流入 管路 152が連通される第 1の流入口 1 54と、 水路 144を通過した冷却水を 排出する流出管路 1 56が連通される第 1の流出口 1 58とが設けられている。 さらに、 ベース 1 10の下面の外周近傍には、 第 1のフランジ 146に締結され るボルト 1 60を揷通するための取付孔 162が周方向に複数 (例えば、 8〜1 2箇所程度) 設けられている。
また、 ベース 1 10下面の半径方向上の中間位置付近には、 S i Cヒータ 1 1 4の温度を測定するための熱電対からなる温度センサ 1 64と、 S i Cヒータ 1 14に電源を供給するための電源ケーブル接続用端子 (ソルトン端子) 1 66 a 〜166 f が設けられている。 尚、 3 1。ヒータ1 14には、 3つの領域が形成 されており、 電源ケーブル接続用端子 1 66 a〜 1 66 f は各領域に電源を供給 する +側端子、 一側端子として設けられている。
また、 フランジ 140の下面には、 水路 150に冷却水を流入させる第 2の流 入管路 1 68が連通される第 2の流入口 1 70と、 水路 1 50を通過した冷却水 を排出する流出管路 1 72が連通される第 2の流出口 1 74とが設けられている。 図 23は第 2の流入口 1 70及ぴ第 2の流出口 1 74の取付構造を拡大して示 す縦断面図である。 図 24はフランジ 140の取付構造を拡大して示す縦断面図 である。
図 23に示されるように、 第 1のフランジ 146には、 第 2の流入口 1 70が 連通された L字状の連通孔 146 aが設けられている。 この連通孔 146 aの端 部は、 水路 150に連通されている。 また、 第 2の流出口 1 74も上記第 2の流 入口 1 70と同様な構成で水路 150に連通されている。
水路 1 50は、 フランジ 140の内部に周方向に延在形成されているため、 フ ランジ 140を冷却することにより、 第 1のフランジ 146の段部 146 bとべ ース 1 10との間で挟持された石英ペルジャ 1 1 2の鍔部 1 12 aの温度も間接 的に冷却している。 これにより、 石英ペルジャ 1 1 2の鍔部 1 12 aが半径方向 に熱膨張することを抑制することができる。
図 23及ぴ図 24に示されるように、 石英ペルジャ 1 12の鍔部 1 1 2 aの下 面には、 複数の位置決め孔 1 78が周方向に所定間隔毎に設けられている。 この 位置決め孔 1 78は、 ベース 1 10の上面に螺入されたピン 1 76が嵌合する孔 であるが、 熱膨張率の大きいベース 1 10が半径方向に熱膨張したときに鍔部 1 1 2 aに負荷がかからないようにピン 1 76の外径よりも大径に形成されている。 すなわち、 ピン 1 76と位置決め孔 1 78とのクリアランス分だけ石英べ^/ジャ 1 1 2の鍔部 1 1 2 aに対するベース 1 10の熱膨張が許容される。
また、 石英ペルジャ 1 1 2の鍔部 1 12 aは、 第 1のフランジ 146の段部 1 46 bに対して半径方向のクリアランスが設けられているので、 この点からもこ のクリアランス分だけベース 1 10の熱膨張が許容される。
石英ペルジャ 1 1 2の鍔部 1 1 2 aの下面は、 ベース 1 10の上面に装着され たシール部材 (Oリング) 180によってシールされ、 石英べルジャ 1 1 2の鍔 部 1 1 2 aの上面は、第 1のフランジ 146に装着されたシール部材 (Oリング) 182によってシーノレされる。
さらに、 第 1のフランジ 146及び第 2のフランジ 148の上面は、 処理容器 22の底部 22 cに装着されたシール部材 (Oリング) 184, 186によって シーノレされる。 また、 第 2のフランジ 148の下面は、 ベース 1 10の上面に装 着されたシール部材 (Oリング) 1 88によってシールされる。
このように、 ベース 1 10とフランジ 140との間、 及ぴフランジ 140と処 理容器 22の底部 22 cとの間は、 夫々 2重シール構造になっており、 どれか一 つのシール部材が破損しても他のシール部材によってシールすることができるの で、 処理容器 2· 2とヒータ部 24との間のシール構造に対する信頼性がより高め られている。
例えば、 石英ペルジャ 1 1 2が割れた場合、 あるいは鍔部 1 1 2 aにひび割れ が生じた場合、 鍔部 1 1 2 aよりも外側に配置されたシール部材 180によって 石英べルジャ 1 12内部の気密性が確保され、 処理容器 22内のガスが外部に流 出することが阻止される。
あるいは、 ヒータ部 24に近い方のシール部材 1 80, 182が劣化した場合 でも、 ヒータ部 24よりも離れた位置に装着された外側のシール部材 186, 1 88によって処理容器 22とベース 1 10との間のシール性能が維持されるため、 経年変化によるガス漏れも防止できる。
図 21に示されるように、 S i Cヒータ 114は、 石英ペルジャ 112の内部 空間 113において、 熱反射部材 116の上面に載置されており、 且つベース 1 10の上面に起立する複数のクランプ機構 190によって所定高さに保持されて いる。
このクランプ機構 190は、 熱反射部材 116の下面に当接する外筒 190 a と、外筒 190 aを貫通して S i Cヒータ 114の上面に当接する軸 190 bと、 軸 190 bに対して外筒 190 aを押圧するコイルバネ 192とを有する。
そして、 クランプ機構 190は、 コイルバネ 192のバネ力で S i Cヒータ 1 14及び熱反射部材 116を挟持する構成になっているため、 例えば、 運搬時の 振動が入力された場合でも S i Cヒータ 114及び熱反射部材 116が石英ベル ジャ 112に接触しないように保持することが可能になる。 また、 上記コイノレバ ネ 192のパネ力が常に作用することで、 熱膨張によるネジのゆるみも防止され ており、 S i Cヒータ 114及び熱反射部材 116はがたつきの無い安定状態に 保持される。
また、 各クランプ機構 190は、 ベース 110に対して S i Cヒータ 114及 ぴ熱反射部材 116の高さ位置を任意の位置に調整できるように構成されており、 複数のクランプ機構 190の高さ位置調整によって S i。ヒータ 114及び熱反 射部材 116の水平に保持することが可能になる。
さらに、 石英ペルジャ 112の内部空間 113には、 S i Cヒータ 114の各 端子とベース 110に挿通された電源ケーブル接続用端子 166 a〜166 f と を電気的に接続するための接続部材 194 a〜194 f (但し、 図 21には接続 部材 194 a, 194 cが図示してある) 取り付けられている。
図 25はクランプ機構 190の上端部の取付構造を拡大して示す縦断面図であ る。
図 25に示されるように、 クランプ機構 190は、 熱反射部材 116の揷通孔 116 a及び S i Cヒータ 114の揷通孔 114 eに揷通された軸 190bの上 端に螺入されたナット 193を締め付けることで、 ヮッシャ 195を介して L字 状ヮッシャ 197, 199を軸方向に押圧して S i Cヒータ 114を挟持する。 S i。ヒータ 114は、 揷通孔 114 eに L字状ヮッシャ 197, 199の円 筒部 197 a、 199 aが挿入され、円筒部 197 a,l 99 a内にクランプ機構 190の軸 190 bが挿通される。 そして、 L字状ヮッシャ 197, 199の鍔 部 197b, 199 bが S i Cヒータ 114の上面,下面に当接する。
クランプ機構 190の軸 190 bは、 上記コイルパネ 192のパネ力により下 方に付勢され、 且つクランプ機構 190の外筒 190 aは、 上記コイルパネ 19 2のパネ力により上方に付勢されている。 このように、 コイルパネ 192のパネ 力がクランプ力として作用するため、 熱反射部材 116及ぴ S i Cヒータ 114 は、 安定的に保持され、 運搬時の振動による破損が防止される。
S i Cヒータ 114の揷通孔 114 eは、 L字状ヮッシャ 197 a, 197b の円筒部 197 c、197 dよりも大径であり、クリアランスが設けられている。 そのため、 S i Cヒータ 114の発熱によって生じる熱膨張により挿通孔 114 eと軸 190 bとの位置が相対変位した場合、 揷通孔 114 eは L字状ヮッシャ 197, 199の鍔部 197 b,l 99 bに当接したまま水平方向にずれることが 可能になり、 熱膨張に伴う応力の発生が防止される。
(3) ここで、 S i Cヒータ 114について説明する。
図 26に示されるように、 S i Cヒータ 114は、 中心部に円形状に形成され た第 1の発熱部 114 aと、 第 1の発熱部 114 aの外周を囲むように円弧状に 形成された第 2、第 3の発熱部 114b, 114 cとから構成されている。また、 S i Cヒータ 114の中心には、 保持部材 120の軸 120 dが揷通される挿通 孑 LI 14 dが設けられている。
発熱部 114 a〜 114 cは、 夫々ヒータ制御回路 196に並列に接続されて おり、 温度調整器 198によって設定された任意の温度に制御される。 ヒータ制 御回路 196では、 電源 200から発熱部 114 a〜 114 cに供給される電圧 を制御することにより S i Cヒータ 114から放射される発熱量を制御する。 また、 発熱部 114 a〜 114 cによって容量が異なると、 電源 200の負担 が増大するため、 本実施例では、 各発熱部 114 a〜l 14 cの容量 (2KW) が同一になるように抵抗が設定されている。
ヒータ制御回路 196は、 発熱部 114 a〜l 14 cを同時に通電して発熱さ せる制御方法 Iと、 被処理 ¾¾Wの温度分布状況に応じて中心の第 1の発熱部 1 14aあるいは外側の第 2、 第 3の発熱部 114 , 114 cの何れ力一方を発 熱させる制御方法 IIと、被処理基板 Wの温度変化に応じて発熱部 114 a〜 11 4 cを同時に発熱させたり、 第 1の発熱部 114aあるいは第 2、 第 3の発熱部 114b, 114 cの何れかを発熱させたりする制御方法 ΠΙを選択することが できる。
被処理基ネ^ Wは、 上記保持部材 120により保持された状態で回転しながら各 発熱部 114 a〜 114 cの宪熱により加熱される際、 外周側と中心部分との温 度差によって周縁部分が上方に反ることがある。 しかしながら、 本実施例では、 S i Cヒータ 114は、 熱伝導率が良い S i Cサセプタ 118を介して被処理基 板 Wを加熱するため、 被処理基gWの全体が S i Cヒータ 114からの熱で加熱 され、 被処理 ¾Wの周縁部分と中心部分との温度差を小さく抑えられて、 被処 理基板 Wが反ることを防止する。
(4) ここで、 石英べルジャ 112の構成について詳細に説明する。
図 27 Aは石英ペルジャ 112の構成を示す平面図であり、 図 27 Bは石英べ ルジャ 112の構成を示す縦断面図である。 図 28 Aは石英ペルジャ 112の構 成を上方からみた斜視図であり、 図 28 Bは石英ペルジャ 112の構成を下方か らみた斜視図である。
図 27A、 図 27B及ぴ図 28A、 図 28 Bに示されるように、 石英ペルジャ 112は、 透明な石英により形成されており、 前述した鍔部 112aの上方に形 成された円筒部 112 bと、 円筒部 112 bの上方を覆う天板 112 cと、 天板 112 cの中央より下方に延在する中空部 112dと、 鍔部 112aの内側に形 成される開口に横架された補強のための粱部 112 eとを有する。
鍔部 112 a及ぴ天板 112 cは、 荷重を受けるので、 円筒部 112 bよりも 厚く形成されている。 また、 石英ペルジャ 112は、 縦方向に延在する中空部 1 12 dと横方向に延在する梁部 112 eとが内部で交差しているため、 上下方向 及び半径方向の強度が高められている。
また、 梁部 112 eの中間位置には、 中空部 112 dの下端部分が結合されて おり、 中空部 112 d内の揷通孔 112 f は梁部 112 eも貫通している。 この 揷通孔 112 f には、 保持部材 120の軸 120 dが挿通される。
そして、 石英べノレジャ 112の内部空間 113には、 前述した S i Cヒータ 1 14及び熱反射部材 116が挿入される。 また、 S i Cヒータ 114及び熱反射 部材 116は、 円盤状に形成されているが、 円弧状に分割可能な構成であり、 梁 部 1 12 eを避けて内部空間 1 13に挿入された後に組み立てられる。
さらに、 石英ペルジャ 112の天板 112 cには、 S i Cサセプタ 118を支 持するためのボス 112 g〜l 12 iが 3箇所(120度間隔)に突出している。 そのため、 ボス 112 g〜112 iに支持された S i Cサセプタ 118は、 天板 112 cから僅かに浮いた状態に載置される。 そのため、 処理容器 22の内部圧 力が変化したり、 あるいは温度変化が生じることのより S i Cサセプタ 118が 下方に変動した場合でも、 天板 112 cに接触することが防止される。
また、 石英ペルジャ 112の内部圧力は、 後述するように処理容器 22のプロ セス空間 84の圧力と差が 5 OTo r r以下になるように減圧システムによる排 気流量の制御を行うため、 石英べルジャ 1 12の肉厚を比較的薄く製作すること が可能になる。 これにより、 天板 112 cの厚さを 6〜1 Onmi程度に薄くする ことができるので、 石英ペルジャ 112の熱容量が小さくなって加熱時の熱伝導 効率を高めることにより応答性を向上させることが可能になる。 尚、 本実施例の 石英ペルジャ 112は、 l OOTo r rの圧力に耐える強度を有するように設計 されている。
図 29は減圧システムの排気系統の構成を示す系統図である。
図 29に示されるように、 処理容器 22のプロセス空間 84は、 前述したよう にバルブ 48 aの開弁により排気口 74に連通された排気経路 32を介してター ポ分子ポンプ 50の吸引力により減圧される。 さらに、 ターボ分子ポンプ 50の 排気口に接続された真空管路 51の下流は、排気されたガスを吸引するポンプ (M BP) 201に連通されている。
石英ペルジャ 丄 2の内部空間 113は、 排気管路 202を介してパイパス管 路 51 aに接続され、 回転駆動部 28のケーシング 122により画成された内部 空間 124は、 気管路 204を介してバイパス管路 51 aに接続されている。 排気管路 202には、 内部空間 113の圧力を測定する圧力計 205と、 石英 ペルジャ 1 1 2の内部空間 1 1 3を減圧する際に開弁されるバルブ 2 0 6とが設 けられている。 また、 パイパス管路 5 1 aには、 前述したようにノルブ 4 8 bが 設けられ、 且つバルブ 4 8 bをバイパスする分岐管路 2 0 8が設けられている。 この分岐管路 2 0 8には、 減圧工程の初期段階に開弁されるバルブ 2 1 0と、 パ ルブ 4 8 bよりも流量を絞るための可変絞り 2 1 1とが設けられている。
また、 ターボ分子ポンプ 5 0の排気側には、 開閉用のバルブ 2 1 2と、 排気側 の圧力を測定する圧力計 2 1 4とが設けられている。 そして、 ターボ軸パージ用 の N 2ラインがターボ分子ポンプ 5 0に連通されたターボ管路 2 1 6には、 逆止 弁 2 1 8、絞り 2 2 0、バルブ 2 2 2が設けられている。
尚、 上記パルプ 2 0 6, 2 1 0、2 1 2、2 2 2は、 電磁弁からなり、 制御回路 カ らの制御信号により開弁する。
上記のように構成された減圧システムでは、 処理容器 2 2、 石英ペルジャ 1 1 2、 回転駆動部 2 8の減圧工程を行う場合、 一気に減圧するのではなく、 段階的 に減圧して徐々に真空に近づけるように減圧させる。
まず、 石英ペルジャ 1 1 2の排気管路 2 0 2に設けられたバルブ 2 0 6を開弁 することで石英べルジャ 1 1 2の内部空間 1 1 3とプロセス空間 8 4との間がお 気経路 3 2を介して連通状態となり、 圧力の均一ィヒが行われる。 これにより、 減 圧工程の開始段階での石英ペルジャ 1 1 2の內部空間 1 1 3とプロセス空間 8 4 との間の圧力差が小さくなる。
次に上記分岐管路 2 0 8に設けられたバルブ 2 1 0を開弁させて可変絞り 2 1 1により絞られた小流量による減圧を行う。 その後、 パイパス管路 5 l aに設け られたパルプ 4 8 bを開弁させて排気流量を段階的に増大させる。
また、 圧力計 2 0 5により測定された石英ペルジャ 1 1 2の圧力と、 センサュ ニット 8 5の圧力計 8 5 a〜8 5 cにより測定されたプロセス空間 8 4の圧力と を比較し、 両圧力の差が 5 0 T o r r以下であるとき、 バルブ 4 8 を開弁させ る。 これにより、 減圧工程において、 石英べルジャ 1 1 2にかかる内外の圧力差 を緩和して石英ペルジャ 1 1 2に不要な応力が作用しないように減圧工程を行う。 そして、 所定時間経過後にバルブ 4 8 aを開弁させてターボ分子ポンプ 5 0の 吸引力による排気流量を増大させて処理容器 2 2、 石英べルジャ 1 1 2、 回転駆 動部 28の内部を真空になるまで減圧する。
(5) ここで、 上記保持部材 120の構成について説明する。
図 30 Aは保持部材 120の構成を示す平面図であり、 図 30 Bは保持部材 1 20の構成を示す側面図である。
図 30 A、 図 30 Bに示されるように、 保持部材 120は、 被処理基板 Wを支 持する腕部 120 a〜 120 cと、 腕部 120 a〜 120 cが結合された軸 12 0 dとから構成されている。 腕部 120 a〜 120 cは、 プロセス空間 84にお けるコンタミネーシヨンを防止し、 且つ S i Cサセプタ 118からの熱を遮蔽し ないようにするため、 透明石英により形成されており、 軸 120 dの上端を中心 軸として 120度間隔で水平方向に放射状に延在している。
さらに、 腕部 120 a〜l 20 cの長手方向の中間位置には、 被処理基板 Wの 下面に当接するボス 120 e〜l 20 gが突出している。 そのため、 被処理基板 Wは、 ボス 120 e〜120 gが当接する 3点で支持される。
このように、 保持部材 120は、 点接触で被処理基板 Wを支持する構成である ので、 S i Cサセプタ 118に対して僅かな距離で離間した位置に被処理基板 W を保持することができる。 尚、 S i Cサセプタ 118と被処理基ネ との離間距 離は、 例えば、 l〜20mmであり、 望ましくは 3〜 1 Omm程度が良い。 すなわち、 被処理基板 Wは、 S i Cサセプタ 118の上方に浮いた状態で回転 することになり、 直接 S i Cサセプタ 118に載置される場合よりも S i Cサセ プタ 1 18からの熱が均一に放射され、 周縁部分と中心部分の温度差が生じにく く、 温度差による被処理基板 Wの反りも防止される。
被処理基 ¾Wは、 S i Cサセプタ 118から離間した位置に保持されているの で、 温度差によって反りが生じても S i Cサセプタ 118に接触せず、 定常時の 温度均一化に伴って元の水平状態に復帰することが可能になる。
また、 保持部材 120の軸 120 dは、 不透明石英により棒状に形成されてお り、 上記 S i Cサセプタ 118及ぴ石英ペルジャ 112の揷通孔 112 f に揷通 されて下方に延在する。 このように、 保持部材 120は、 プロセス空間 84内で 被処理基板 Wを保持するものであるが、 石英により形成されてレ、るので、 金属製 のものよりもコンタミネーションのおそれがない。 ( 6 ) ここで、 上記回転駆動部 2 8の構成について詳細に説明する。
図 3 1はヒータ部 2 4の下方に配置された回転駆動部 2 8の構成を示す縦断面 図である。 図 3 2は回転駆動部 2 8を拡大して示す縦断面図である。
図 3 1及ぴ図 3 2に示されるように、 ヒータ部 2 4のベース 1 1 0の下面に回 転駆動部 2 8を支持するためのホルダ 2 3 0が締結されている。 このホルダ 2 3 0には、回転位置検出機構 2 3 2と、ホルダ冷却機構 2 3 4とが設けられている。 さらに、 ホルダ 2 3 0の下方には、 保持部材 1 2 0の軸 1 2 0 dが揷通固定さ れたセラミック軸 1 2 6が挿入されており、 セラミック軸 1 2 6を回転可能に支 持するセラミック軸受 2 3 6 , 2 3 7を保持する固定側のケーシング 1 2 2がボ ルト 2 4 0により固定されている。
ケーシング 1 2 2内においては、 回転部分がセラミック軸 1 2 6とセラミック 軸受 2 3 6, 2 3 7とから構成されているので、 金属のコンタミネーシヨンが防 止されている。
ケーシング 1 2 2は、 ボルト 2 4 0が揷通されるフランジ 2 4 2と、 フランジ 2 3 8より下方に延在形成された有底筒状の隔壁 2 4 4とを有する。 隔壁 2 4 4 の外周面には、 前述した減圧システムの排気管路 2 0 4が連通される排気ポ一ト 2 4 6が設けられており、 ケーシング 1 2 2の内部空間 1 2 4の気体は、 前述し た減圧システムによる減圧工程において、 排気されて減圧される。 そのため、 プ ロセス空間 8 4内のガスが保持部材 1 2 0の軸 1 2 0 dに沿って外部に流出する ことが防止される。
さらに、 内部空間 1 2 4には、 マグネットカップリング 1 3 0の従動側マグネ ット 2 4 8が収納されている。 この従動側マグネット 2 4 8は、 コンタミネーシ ョンを防止するため、 セラミック軸 1 2 6の外周に嵌合されたマグネットカバー 2 5 0に覆われており、 内部空間 1 2 4内の気体と接触しないように取り付けら れている。
マグネットカバ一 2 5 0は、アルミ合金により環状に形成されたカバーであり、 内部に収納する環状の空間が形成されている。 内にがたつきの無い状態に収納さ れている。 また、 マグネットカバー 2 5 0の継ぎ目部分は、 電子ビーム溶接によ り隙間無く結合されており、 口ゥ付け等のように銀が流出してコンタミネーショ ンが生じることがないように加工されている。
さらに、 ケーシング 1 2 2の外周には、 筒状に形成された大気側回転部 2 5 2 が嵌合するように設けられており、 軸受 2 5 4, 2 5 5を介して回転可能に支持 されている。 そして、 大気側回転部 2 5 2の内周には、 マグネットカップリング 1 3 0の駆動側マグネット 2 5 6が取り付けられている。
大気側回転部 2 5 2は、 下端部 2 5 2 aが伝達部材 2 5 7を介してモータ 1 2 8の駆動軸 1 2 8 aが結合されている。そのため、モータ 1 2 8の回転駆動力は、 大気側回転部 2 5 2に設けられた駆動側マグネット 2 5 6とケーシング 1 2 2の 内部に設けられた従動側マグネット 2 4 8との間の磁力を介してセラミック軸 1 2 6に伝達され、 保持部材 1 2 0及び被処理基板 Wに伝達される。
また、 大気側回転部 2 5 2の外側には、 大気側回転部 2 5 2の回転を検出する 回転検出ュュット 2 5 8が設けられている。 この回転検出ユニット 2 5 8は、 大 気側回転部 2 5 2の下端部外周に取り付けられた円盤状のスリット板 2 6 0, 2 6 1と、 スリット板 2 6 0, 2 6 1の回転量を光学的に検出するフォトインタラ プタ 2 6 2, 2 6 3とから構成されている。
フォトインタラプタ 2 6 2, 2 6 3は、 ブラケット 2 6 4により固定側のケー シング 1 2 2に固定されている。 そして、 回転検出ュ-ット 2 5 8では、 一対の フォトインタラプタ 2 6 2, 2 6 3から回^ g度に応じたパルスが同時に検出さ れるので、 両パルスを比較することにより回転検出精度を高めることが可能にな る。
図 3 3 Aはホルダ冷却機構 2 3 4の構成を示す横断面図であり、 図 3 3 Bはホ ルダ冷却機構 2 3 4の構成を示す側面図である。
図 3 3 A、 図 3 3 Bに示されるように、 ホルダ冷却機構 2 3 4は、 ホルダ 2 3 0の内部に周方向に延在する冷却水用の水路 2 3 0 aが形成されている。そして、 水路 2 3 0 aの一端に冷却水供給ポート 2 3 0 bが連通され、 水路 2 3 0 aの他 端に冷却水排出ポート 2 3 0 cが連通されている。
冷却水供給部 4 6から供給された冷却水は、 冷却水供給ポート 2 3 0 b力 ら水 路 2 3 0 aを通過した後、 冷却水排出ポート 2 3 0 cから排出されるため、 ホル ダ 2 3 0全体を冷却することができる。 図 3 4は回転位置検出機構 2 3 2の構成を示す横断面図である。
図 3 4に示されるように、 ホルダ 2 3 0の一方の側面には、 発光素子 2 6 6カ 取り付けられ、 ホルダ 2 3 0の他方の側面には、 発光素子 2 6 6からの光を受光 する受光素子 2 6 8が取り付けられている。
また、 ホルダ 2 3 0の中央には、 保持部材 1 2 0の軸 1 2 0 dが挿通される中 央孔 2 3 0 dが上下方向に貫通しており、 この中央孔 2 3 0 dに交差するように 横方向に貫通する貫通孔 2 3 0 e , 2 3 0 f が設けられている。
発光素子 2 6 6は、 一方の貫通孔 2 3 0 eの端部に挿入され、 受光素子 2 6 8 は、 他方の貫通孔 2 3 0 f の端部に挿入されている。 貫通孔 2 3 0 eと 2 3 0 f との間には、 軸 1 2 0 dが挿通されているため、 軸 1 2 0 dの回転位置を受光素 子 2 6 8の出力変化から検出することが可能になる。
( 7 ) ここで、 回転位置検出機構 2 3 2の構成及ぴ作用について詳細に説明す る。 '
図 3 5 Aは回転位置検出機構 2 3 2の非検出状態を示す図であり、 図 3 5 Bは 回転位置検出機構 2 3 2の検出状態を示す図である。
図 3 5 Aに示されるように、 保持部材 1 2 0の軸 1 2 0 dは、 外周に接線方向 の面取り加工が施されている。 この面取り部 1 2 0 iは、 発光素子 2 6 6と受光 素子 2 6 8との中間位置に回動したとき、 発光素子 2 6 6から発光された光と平 行になる。
このとき、 発光素子 2 6 6からの光は、 面取り部 1 2 0 iの横を通過して受光 素子 2 6 8に照射される。 これにより、 受光素子 2 6 8の出力信号 Sはオン (こな り、 回転位置判定回路 2 7 0に供給される。
図 3 5 Bに示されるように、 保持部材 1 2 0の軸 1 2 0 dが回動して面取り部 1 2 0 iの位置が中間位置からずれると、 発光素子 2 6 6からの光は、 軸 1 2 0 dに遮断され、 回転位置判定回路 2 7 0への出力信号 Sはオフになる。
図 3 6 Aは、 回転位置検出機構 2 3 2の受光素子 2 6 8の出力信号 Sを示す波 形図であり、 図 3 6 Bは回転位置判定回路 2 7 0から出力されるパルス信号 Pの 波形図である。
図 3 6 Aに示されるように、 受光素子 2 6 8は、 軸 1 2 0 dの回動位置によつ て発光素子 2 6 6からの光の受光量 (出力信号 S ) が放物線状に変化する。 回転 位置判定回路 2 7 0では、 この出力信号 Sに対する閾値 Hを設定することで、 出 力信号 Sが閾値 H以上になったときにパルス Pを出力する。
このパルス Pが保持部材 1 2 0の回動位霄を検出した検出信号として出力され る。 すなわち、 回転位置判定回路 2 7 0は、 図 1 0に示されるように、 保持部材 1 2 0の腕部 1 2 0 a〜 1 2 0 cが昇降アーム 1 3 2の当接ピン 1 3 8 a〜 1 3 8 cに干渉せず、 且つ搬送ロボット 9 8のロボットハンドと干渉しない位置にあ ることを判定し、 その検出信号 (パルス P ) を出力する。
( 8 ) ここで、 上記回転位置判定回路 2 7 0から出力された検出信号 (パルス P ) に基づいて制御回路が実行する回転位置制御処理について説明する。
図 3 7は制御回路が実行する回転位置制御処理を説明するためのフローチヤ一 トである。
図 3 7に示されるように、 制御回路は、 S 1 1において、 被処理基 «Wの回転 を指示する制御信号があると、 S 1 2に進み、 モータ 1 2 8を起動させる。 続い て、 S 1 3に進み、 受光素子 2 6 8の信号がオンかどうかをチヱックする。 S 1 3で受光素子 2 6 8の信号がオンであるときは、 S 1 4に進み、 検出信号 (パル ス P) の周期から保持部材 1 2 0及び被処理基板 Wの回転数を演算する。
続いて、 S 1 5に進み、 保持部材 1 2 0及び被処理基板 Wの回転数 nが予め設 定された目標回転 n aかどうかをチェックする。 S 1 5において、 保持部材 1 2 0及び被処理基 の回転数 nが目標回転 n aに達していないときは、 上記 S 1 3に戻り、 モータ 1 2 8の回転数が上昇したかどうかを再度チェックする。 また、 上記 S 1 5において、 n = n aのときは、 保持部材 1 2 0及び被処理基 板 Wの回転数 nが目標回転 n aに達しているので、 S 1 7に進み、 モータ停止の 制御信号があるかどうかをチェックする。 S 1 7において、 モータ停止の制御信 号が無いときは、 上記 S 1 3に戻り、 モータ停止の制御信号があるときは、 S 1 8に進み、 モータ 1 2 8を停止させる。 続いて、 S 1 9で受光素子 2 6 8の信号 がオンかどうかをチェックし、受光素子 2 6 8の信号がオンになるまで繰り返す。 このようにして、 保持部材 1 2 0の腕部 1 2 0 a〜l 2 0 cが昇降アーム 1 3 2の当接ピン 1 3 8 a〜 1 3 8 cに干渉せず、 且つ搬送ロボット 9 8のロボット ハンドと千渉しない位置に停止させることができる。
尚、 上記回転位置制御処理では、 受光素子 2 6 8からの出力信号の周期から回 転数を求める方法を用いた場合について説明したが、 例えば前述したフォトイン タラプタ 2 6 2 , 2 6 3から出力された信号を積算して回転数を求めることも可 能である。
( 9 ) ここで、 処理容器 1 2 2の側面に形成された窓 7 5, 7 6の構成にっレ、 て詳細に説明する。
図 3 8は窓 7 5, 7 6の取付箇所を上方からみた横断面図である。 図 3 9は窓 7 5を拡大して示す横断面図である。 図 4 0は窓 7 6を拡大して示す横断面図で ある。
図 3 8及ぴ図 3 9に示されるように、 第 1の窓 7 5は、 処理容器 1 2 2の内部 に形成されたプロセス空間 8 4にガスが供給されたり、 真空に減圧されるため、 気密性がより高められた構成になっている。
窓 7 5は、 透明石英 2 7 2と、 紫外線を遮断する UVガラス 2 7 4とを有する 2重構造になっている。 透明石英 2 7 2は、 窓取り付け部 2 7 6に当接させた状 態で第 1の窓枠 2 7 8が窓取り付け部 2 7 6にビス 2 7 7でネジ止めされて固定 される。 窓取り付け部 2 7 6の外面には、 透明石英 2 7 2との間を気密にシール するシール部材 (Oリング) 2 8 0が装着されている。 さらに、 第 1の窓枠 2 7 8の外面には、 UVガラス 2 7 4を当接させた状態で第 2の窓枠 2 8 2がビス 2 8 4でネジ止めされて固定される。
このように、 窓 7 5は、 紫外線光源 (U Vランプ) 8 6, 8 7力ら照射された 紫外線が UVガラス 2 7 4によって遮断されてプロセス空間 8 4の外部に漏れる ことを防止していると共に、 シーゾレ部材 2 8 0のシール効果によってプロセス空 間 8 4に供給されたガスが外部に流出することを防止している。
また、 処理容器 2 2の側面を貫通する開口 2 8 6は、 処理容器 2 2の中央、 す なわち保持部材 1 2 0に保持された被処理基 ¾Wの中心に向かうように斜めに貫 通している。 そのため、 窓 7 5は、 処理容器 2 2の側面中心から外れた位置に設 けられているが、 横方向に広く見えるように楕円形状に形成されており、 被処理 基板 Wの状態を外部から視認することができる。 また、第 2の窓 7 6は、上記窓 7 5と同様な構成になっており、透明石英 2 9 2 と、 紫外線を遮断する UVガラス 2 9 4とを有する 2重構造になっている。 透明 石英 2 9 2は、 窓取り付け部 2 9 6に当接させた状態で第 1の窓枠 2 9 8が窓取 り付け部 2 9 6にビス 2 9 7でネジ止めされて固定される。 窓取り付け部 2 9 6 の外面には、 透明石英 2 9 2との間を気密にシールするシール部材 (Oリング) 3 0 0が装着されている。 さらに、 第 1の窓枠 2 9 8の外面には、 UVガラス 2
9 4を当接させた状態で第 2の窓枠 3 0 2がビス 3 0 4でネジ止めされて固定さ れる。
このように、 窓 7 6は、 紫外線光源 (UVランプ) 8 6, 8 7から照射された 紫外線が UVガラス 2 9 4によって遮断されてプロセス空間 8 4の外部に漏れる ことを防止していると共に、 シール部材 3 0 0のシール効果によってプロセス空 間 8 4に供給されたガスが外部に流出することを防止している。
尚、 本実施例では、 処理容器 2 2の側面に一対の窓 7 5, 7 6を配置した構成 を一例として説明したが、 これに限らず、 3個以上の窓を設けるようにしても良 いし、 あるいは側面以外の場所に設けるようにしても良いのは勿論である。
( 1 0 ) ここで、 石英ライナ 1 0 0を構成する各ケース 1 0 2 , 1 0 4 , 1 0 6 ,
1 0 8について説明する。
図 9及び図 1 0に示されるように、 石英ライナ 1 0 0は、 下部ケース 1 0 2、 側面ケース 1 0 4、 上部ケース 1 0 6、 円筒状ケース 1 0 8とを組み合わせた構 成になっており、 夫々が不透明石英により形成されており、 アルミ合金製の処理 容器 2 2をガスや紫外線から保護すると共に、 処理容器 2 2による金属のコンタ ミネーションを防止することを目的として設けられている。
図 4 1 Aは下部ケース 1 0 2の構成を示す平面図であり、 図 4 1 Bは下部ケー ス 1 0 2の構成を示す側面図である。
図 4 1 A、 図 4 1 Bに示されるように、 下部ケース 1 0 2は、 輪郭形状が処理 容器 2 2の内壁形状に対応した板状に形成されており、 その中央には S i Cサセ プタ 1 1 8及び被処理基 ¾Wに対向する円形開口 3 1 0が形成されている。 この 円形開口 3 1 0は、 円筒状ケース 1 0 8が挿入可能な寸法に形成されており、 内 周には保持部材 1 2 0の腕部 1 2 0 a〜 1 2 0 cの先端部を挿入するための凹部 3 10 a〜 310 cが 1 20度間隔で設けられている。
尚、 凹部 310 a〜 310 cの位置は、 保持部材 1 20の腕部 120 a〜 1 2 0 cが昇降アーム 1 32の当接ピン 1 38 a〜 1 38 cに干渉せず、 且つ搬送口 ポット 98のロボットハンドと干渉しない位置である。
また、 下部ケース 102には、 処理容器 22の底部に形成された排気口 74に 対向する長方形状の開口 31 2が設けられている。さらに、下部ケース 102は、 下面に位置決め用の突起 3 14 a, 3 14 bが非対称位置に設けられている。 また、 上記円形開口 310の内周には、 後述する円筒状ケース 108の突起が 嵌合するための凹部 310 dが形成されている。 さらに、 下部ケース 102の周 縁部には、 側面ケース 104に嵌合する段部 3 1 5が設けられている。
図 42 Aは側面ケース 104の構成を示す平面図であり、 図 42 Bは側面ケー ス 104の正面図であり、 図 42 Cは側面ケース 104の背面図であり、 図 42 Dは側面ケース 104の左側面図であり、 図 42 Eは側面ケース 104の右側面 図である。
図 42 A〜図 42 Eに示されるように、 側面ケース 104は、 外形状が処理容 器 22の内壁形状に対応した四隅が R形状とされた略四角形の枠形状に形成され ており、 内側にプロセス空間 84が形成される。
また、 側面ケース 104は、 正面 104 aに前述したガス噴射ノズル部 93の 複数の噴射口 93 aに対向するように横方向に延在された細長形状のスリット 3 1 6と、 リモートプラズマ部 27に連通される連通孔 92に対向する位置に設け られた U字状の開口 31 7とが設けられている。 尚、 本実施例では、 スリット 3
1 6と開口 31 7とが連通した構成になっているが、 夫々独立した開口として形 成することも可能である。
また、 側面ケース 104は、 背面 104 bに前述した搬送口ボット 98のロボ ットハンドが通過するための凹部 318が搬送口 94に対向する位置に形成され ている。
また、 側面ケース 104は、 左側面 104 cに前述したセンサュ-ット 85に 対向する円形の孔 3 1 9が形成され、右側面 104 dに前述した窓 75, 76と、 センサュニット 77に対向する孔 320〜322が形成されている。 図 4 3 Aは上部ケース 1 0 6の構成を示す底面図であり、 図 4 3 Bは上部ケー ス 1 0 6の側面図である。
図 4 3 A、 図 4 3 Bに示されるように、 上部ケース 1 0 6は、 輪郭形状が処理 容器 2 2の内壁形状に対応した板状に形成されており、紫外線光源(UVランプ) 8 6 , 8 7に対向する位置に長方形状の開口 3 2 4, 3 2 5が形成されている。 さらに、 上部ケース 1 0 6の周縁部には、 側面ケース 1 0 4に嵌合する段部 3 2 6が設けられている。
また、 上部ケース 1 0 6は、 蓋部材 8 2の形状に対応する円形孔 3 2 7〜3 2 9、 及ぴ長方形の四角孔 3 3 0が設けられている。
図 4 4 Aは円筒状ケース 1 0 8の構成を示す平面図であり、 図 4 4 Bは円筒状 ケース 1 0 8の側面縦断面図であり、 図 4 4 Cは円筒状ケース 1 0 8の側面図で める。
図 4 4 A〜図 4 4 Cに示されるように、 円筒状ケース 1 0 8は、 石英ペルジャ 1 1 2の外周を覆うように筒状に形成されており、 上端縁部には昇降アーム 1 3 2の当接ピン 1 3 8 a〜l 3 8 cが挿入される凹部 1 0 8 a〜l 0 8 cが設けら れている。 さらに、 円筒状ケース 1 0 8は、 上端部の外周に下部ケース 1 0 2の 凹部 3 1 0 dが嵌合する位置合わせ用の突起 1 0 8 dが形成されている。
( 1 1 ) ここで、 リフタ機構 3 0のシール構造について説明する。
図 4 5はリフタ機構 3 0を拡大して示す縦断面図である。 図 4 6はリフタ機構 3 0のシール構造拡大して示す縦断面図である。
図 4 5及び図 4 6に示されるように、 リフタ機構 3 0は、 駆動部 1 3 6により 昇降軸 1 3 4を昇降させてチャンバ 8 0内に挿入された昇降アーム 1 3 2を昇降 させる際、 チャンバ 8 0の貫通孔 8 0 a内に挿入された昇降軸 1 3 4の外周が蛇 腹形状のベローズ 3 3 2により覆われており、 チャンバ 8 0内でのコンタミネー シヨンを防止するように構成されている。
ベローズ 3 3 2は、 蛇腹部分が伸縮可能な形状になっており、 例えばインコネ ノレやハステロイなどにより形成されている。 また、 貫通孔 8 0 aは、 昇降軸 1 3 4が揷通された蓋部材 3 4 0により閉塞されている。
さらに、 昇降軸 1 3 4の上端がボルト 3 3 4により締結される昇降アーム 1 3 2の連結部材 3 3 6には、 円筒形状のセラミックカバー 3 3 8が嵌合固定されて いる。 このセラミックカバー 3 3 8は、 連結部材 3 3 6より下方に延在形成され るため、 ベローズ 3 3 2の周囲を覆うことによりチャンバ 8 0内で直接露出され ないように設けられている。
そのため、 ベローズ 3 3 2は、 プロセス空間 8 4において、 昇降アーム 1 3 2 を上昇させる際に上方に伸びてしまレ、、 セラミックにより形成された円筒状カバ 一 3 3 8により覆われている。 よって、 ベローズ 3 3 2は、 貫通孔 8 0 aに昇降 可能に挿入された円筒状カバー 3 3 8によりプロセス空間 8 4のガスや熱に直接 晒されることがなく、 ガスや熱による劣ィ匕を防止されている。
( 1 2 ) 以下に、 基板処理装置 2 0を使つて行う被処理基ネ 表面の紫外光ラ ジカル酸化処理、 およびその後に行われるリモートブラズマラジカル窒ィ匕処理に ついて説明する。
〔紫外光ラジカル酸ィ匕処理〕
図 4 7 Aは、 図 2の基板処理装置 2 0を使つて被処理基板 Wのラジカル酸化を 行う場合を示す側面図おょぴ平面図であり、 図 4 7 Bは図 4 7 Aの構成を示す平 面図である。
図 4 7 Aに示されるように、 前記プロセス空間 8 4中にはガス噴射ノズル部 9 3カゝら酸素ガスが供給され、被処理基板 Wの表面に沿って流れた後、排気口 7 4、 ターボ分子ポンプ 5 0およびポンプ 2 0 1を通ってお気される。 ターボ分子ポン プ 5 0を使うことにより、 前記プロセス空間 8 4のプロセス圧が、 基板 Wの酸素 ラジカルによる酸ィ匕に必要な 1 0 -3〜1 0 -6T o r rの範囲に設定される。 これと同時に、 好ましくは 1 7 2 n mの波長の紫外光を発生する紫外線光源 8 6, 8 7を駆動することにより、 このようにして形成された酸素ガス流中に酸素 ラジカルが形成される。 形成された酸素ラジカルは前記被処理基 Wの表面に沿 つて流れる際に、 回動している基板表面を酸化する。 このような被処理基 ¾Wの 酸素ラジカルによる酸化により、 シリコン基板表面に 1 n m以下の膜厚の非常に 薄い酸化膜、 特に 2〜3原子層に相当する約 0. 4 n mの膜厚の酸化膜を、 安定 に再現性良く形成することが可能になる。
図 4 7 Bに示されるように、 紫外線光源 8 6, 8 7は酸素ガス流の方向に交差 する方向に延在する管状の光源であり、 ターボ分子ポンプ 50が排気口 74を介 してプロセス空間 84を排気するのがわかる。 一方、 前記排気口 74から直接に ポンプ 50に至る、 図 47 B中に点線で示した排気経路は、 バルブ 48 bを閉鎖 することにより遮断されている。
図 48は、 図 2の基板処理装置 20において図 47 A、 図 47 Bの工程により シリコン基板表面にシリコン酸化膜を、 基板温度を 450°Cに設定し、 紫外光照 射強度および酸素ガス流量あるいは酸素分圧を様々に変化させながら形成した場 合の、 膜厚と酸ィ匕時間との関係を示す。 ただし図 48の実験ではラジカル酸化に 先立ってシリコン基板表面の自然酸化膜を除去し、 また場合によっては基板表面 に残留する炭素を紫外光励起窒素ラジカル中において除去し、 さらに A r雰囲気 中、 約 950°Cにおける高温熱処理を行うことにより、 基板表面を平坦化してい る。 また前記紫外線光源 86, 87としては、 波長が 172 nmのエキシマラン プを使った。
図 48を参照するに、 系列 1のデータは、 紫外光照射強度を紫外光源 24 Bの 窓面における基準強度 (50mWZcm2) の 5%に設定し、 プロセス圧を 66 5mP a (5mTo r r ),酸素ガス流量を 30 S C CMに設定した場合の酸化時 間と酸化膜厚との関係を、 系列 2のデータは紫外光強度をゼロに設定し、 プロセ ス圧を 133P a (lTo r r),酸素ガス流量を 3 S LMに設定した場合の酸化 時間と酸化膜厚との関係を示す。 また系列 3のデータは紫外光強度をゼロに設定 し、 プロセス圧を 2. 66 P a (2 OmTo r r), 酸素ガス流量を 150 SCC Mに設定した場合の酸化時間と酸化膜厚との関係を示し、 系列 4のデータは紫外 光照射強度を 100%、 すなわち前記基準強度に設定し、 プロセス圧を 2. 66 P a (2 OmTo r r),酸素ガス流量を 150 S C CMに設定した場合の酸化時 間と酸化膜厚との関係を示す。 さらに系列 5のデータは紫外光照射強度を基準強 度の 20%に設定し、 プロセス圧を 2. 66 P a ( 20 mT o r r ), 酸素ガス流 量を 150 S C CMに設定した場合の酸化時間と酸化膜圧との関係を示し、 系列 6のデータは、 紫外光照射強度を基準照射強度の 20%に設定し、 プロセス圧を 約 67Pa (0. 5To r r)、酸素ガス流量を 0. 5 S LMに設定した場合の酸 化時間と酸化膜厚との関係を示す。 さらに系列 7のデータは、 紫外光照射強度を 基準強度の 20 %に設定し、 プロセス圧を 665Pa (5To r r) に、 酸素ガ ス流量を 2 S LMに設定した場合の酸化時間と酸化 Hffとの関係を、 系列 8のデ ータは、 紫外光照射強度を基準強度の 5%に設定し、 プロセス圧を 2. 66Pa (2 OmTo r r),酸素ガス流量を 150 S C CMに設定した場合の酸化時間と 酸化膜厚との関係を示す。
図 48の実験において、 酸化膜の膜厚は XPS法により求めているが、 このよ うに 1 nmを下回る非常に薄い酸化膜の膜厚を求める統一された方法は、 現時点 では存在しない。
そこで本発明の発明者は、 図 49に示す観測された S i 2p軌道の X P Sスぺク トルに対してバックグラウンド補正おょぴ 3Z2と 1/2スピン状態の分離補正 を行い、 その結果得られた図 50に示す S i 2p3/2X P Sスぺク トルをもとに、 L u他 (Z. H. Lu, et al., Appl. Phys, Lett.71 (1997), pp.2764) の教示に従って、 式 (1) に示す式および係数を使って酸ィ匕膜の膜厚 dを求めた。
d = lsina · In [ I xゾ (β I。+) + 1] (1)
λ = 2. 96
β = 0. 75
ただし式 ( 1 ) において aは図 55に示す X P Sスぺク トルの検出角であり、 図示の例では 30° に設定されている。 また数 1中、 Ιχ+は酸化膜に対応するス ぺクトルピークの積分強度(Ιΐχ+Ι2χ+Ι3χ+Ι4χ) であり、図 50中、 102〜10 4 eVのエネルギ領域において見られるピークに対応している。 一方、 10+は 1 00 e V近傍のエネルギ領域に対応した、 シリコン基板に起因するスぺク トルピ ークの積分強度に対応する。
再び図 48を参照するに、 紫外光照射パワー、 従って形成される酸素ラジカル 密度が小さい場合 (系列 1, 2, 3, 8) には、 最初は酸化膜の酸化膜厚が On mであったものが、 酸化時間と共に酸化膜厚が徐々に増加し続けるのに対し、 紫 外光照射パワーを基準強度の 20%以上に設定した系列 4, 5, 6, 7では、 図 51に概略的に示すように酸化膜成長が成長開始後、 おおよそ 0. 4 η πιの に到達した時点で停留し、 ある程度の停留時間が経過した後、 急激に成長が再開 されるのが認められる。 図 4 8あるいは図 5 1の関係は、 シリコン基板表面の酸化処理において、 0.
4 nm前後の膜厚の非常に薄い酸化膜を、 安定して形成できることを意味してい る。 また、 図 4 8に見られるように、 力かる停留時間がある程度継続することか ら、 形成される酸化膜は、 一様な厚さを有することがわかる。 すなわち、 本発明 によれば、 約 0. 4 n mの厚さの酸化膜をシリコン基板上に、 一様な厚さに形成 することが可能になる。
図 5 2 A、 図 5 2 Bは、 かかるシリコン基板上への薄い酸化膜の形成過程を概 略的に示す。 これらの図では、 シリコン (1 0 0 ) 基板上の構造を極めて単純ィ匕 していることに注意すべきである。
図 5 2 Aを参照するに、 シリコン基板表面には、 シリコン原子 1個あたり 2個 の酸素原子が結合し、 1原子層の酸素層が形成されている。 この代表的な状態で は、 基板表面のシリコン原子は基板内部の 2つのシリコン原子と基板表面の二つ の酸素原子により配位され、 サブォキサイドを形成している。
これに対し、 図 5 2 Bの状態ではシリコン基板最上部のシリコン原子は 4つの 酸素原子により配位されており、 安定な S i 4+の状態をとる。 これが理由で、 図
5 2 Aの状態では速やかに酸ィヒが進み、 図 5 2 Bの状態になって酸化が停留する ものと考えられる。図 5 2 Bの状態における酸化膜の厚さは約 0. 4 n mであり、 これは図 4 8において観測される停留状態における酸ィ匕膜厚と良く一致する。 図 5 0の X P Sスペク トルにおいて、 酸化醇が 0. l n mあるいは 0 . 2 n mの場合に 1 0 1〜1 0 4 e Vのエネルギ範囲において見られる低いピークが図 5 2 Aのサブォキサイドに対応し、 酸化膜厚が 0. 3 n mを超えた場合にこのェ ネルギ領域に表れるピークが S i 4+に起因するもので、 1原子層を超える酸ィ匕膜 の形成を表しているものと考えられる。
このような 0. 4 n mの膜厚における酸化膜厚の停留現象は、 図 4 7 A、 図 4 7 Bの UV02ラジカル酸化プロセスに限定されるものではなく、 同様に薄い酸 ィ匕膜が精度よく形成できる酸化膜形成方法であれば、 同じように見られるもので あると考えられる。
図 5 2 Bの状態からさらに酸化を継続すると、 酸化膜の厚さは再び増大する。 図 5 3は、 このように基板処理装置 2 0を使った図 4 7 A、 図 4 7 Bの紫外光 ラジカル酸ィ匕プロセスにより形成された酸化膜上に厚さが 0 . 4 n mの Z r S i Ox膜と電極膜とを形成し (後で説明する図 5 4 Bを参照)、 得られた積層構造に 対して求めた熱酸化膜換算膜厚 T e qとリーク電流 I gとの関係を示す。ただし、 図 5 3のリーク電流特性は、 前記電極膜とシリコン基板との間にフラットバンド 電圧 V f bを基準に、 V f b— 0 . 8 Vの電圧を印加した状態で測定している。 比較のため、 図 5 3中には熱酸化膜のリーク電流特性をも示してある。 また図示 している換算膜厚は、 酸化膜と Z r S i Ox膜を合わせた構造についてのもので ある。
図 5 3を参照するに、 酸化膜を省略した場合、 すなわち酸化膜の膜厚が O n m の場合にはリーク電流密度が熱酸化膜のリーク電流密度を超えており、 また熱酸 化膜換算膜厚 T e qも約 1 . 7 n m程度の比較的大きな値になることがわかる。 これに対し、 酸化膜の SU¥を O n mから 0 . 4 n mまで増大させると、 熱酸化 膜換算膨享 T e qの値が減少をはじめるのがわかる。 このような状態では酸化膜 がシリコン基板と Z r S i Ox膜との間に介在することになり、 物理膜厚は実際 には増大するはずなのに換算 SU?T e qは減少しているが、 これはシリコン基板 上に Z r O2膜を直接に形成した場合、 図 5 4 Αに示すように Z rのシリコン基 板中への拡散あるいは S iの Z r S i Ox膜中への拡散が大規模に生じ、 シリコ ン基板と Z r S i Ox膜との間に厚い界面層が形成されていることを示唆してい る。 これに対し、 図 5 4 Bに示すように厚さが 0 . 4 n mの酸化膜を介在させる ことにより、 このような界面層の形成が抑制され、 結果として換算膜厚が減少す るものと考えられる。 これに伴って、 リーク電流の値も酸化膜の厚さと共に減少 するのがわかる。 ただし図 5 4 A、 図 5 4 Bは、 このようにして形成された試料 の概略的な断面を示しており、シリコン基板 4 4 1上に酸化膜 4 4 2が形成され、 酸化膜 4 4 2上に Z r S i Ox膜 4 4 3が形成されている構造を示している。 —方、 前記酸化膜の膜厚が 0 . 4 n mを超えると、 熱酸化膜換算膜厚の値は再 ぴ増大をはじめる。酸化膜の膜厚が 0 . 4 n mを超えた範囲においては、膜厚の増 大と共にリーク電流の値も減少しており、 換算 の増大は酸化膜の物理 の 増大に起因するものであると考えられる。
このように、 図 4 8で観測された酸化膜の成長が停留する 0 . 4 n m付近の膜 厚は、 酸化膜と高誘電体膜とよりなる系の換算 ffの最小値に対応しており、 図 5 2 (B) に示す安定な酸化膜により、 Z r等の金属元素のシリコン基板中への 拡散が効果的に Plihされること、 またこれ以上酸化膜の厚さを増大させても、 金 属元素の拡散阻止効果はそれほど高まらないことがわかる。
さらに 0 . 4 n mの厚さの酸化膜を使った場合のリーク電流の値は、 対応する 厚さの熱酸化膜のリーク電流の値よりも二桁ほど小さく、 このような構造の絶縁 膜を MO Sトランジスタのゲート絶縁膜に使うことにより、 ゲートリーク電流を 最小化できることがわかる。
また、 図 4 8あるいは図 5 1で説明した酸ィ匕膜成長の 0 . 4 n mにおける停留 現象の結果、 図 5 5 Aに示すようにシリコン基板 4 4 1上に形成された酸化膜 4 4 2に当初膜厚の変化ないし凹凸が存在していても、 酸化膜成長の際に膜厚の増 大が図 5 5 Bに示すように 0 . 4 n mの近傍において停留するため、 停留期間内 で酸ィ匕膜成長を継続することにより、 図 5 5 Cに示す非常に平坦な、 一様な膜厚 の酸化膜 4 4 2を得ることができる。
先にも説明したように、 非常に薄い酸化膜に対しては、 現状では統一された膜 厚測定方法が存在しない。 このため、 図 5 5 Cの酸化膜 4 4 2の H?値自体は、 測定方法で異なる可能性がある。 しカゝし、 先に説明した理由から、 酸化膜成長に 停留が生じる厚さは、 2原子層分の厚さであることがわかっており、 従って、 好 ましい酸化膜 4 4 2の膜厚は、 約 2原子層分の厚さであると考えられる。 この好 ましい厚さには、 2原子層分の厚さが酸ィ匕膜 4 4 2全体にわたり確保されるよう に、 部分的に 3原子層分の厚さの領域が形成されている場合も含まれる。 すなわ ち、 好ましい酸化膜 4 4 2の厚さは、 実際には 2〜3原子層の範囲であると考え られる。
〔リモートプラズマラジカル窒化処理〕
図 5 6は、 基板処理装置 2 0におレ、て使われるリモートプラズマ部 2 7の構成 を示す。
図 5 6に示されるように、 リモートプラズマ部 2 7は、 内部にガス循環通路 2 7 aとこれに連通したガス入り口 2 7 bおよびガス出口 7 6 cを形成された、 典 型的にはアルミニウムよりなるブロック 2 7 Aを含み、 前記ブロック 2 7 Aの一 部にはフェライトコア 27 Bが形成されている。
前記ガス循環通路 27 aおよびガス入り口 27 b、 ガス出口 27 cの内面には フッ素樹脂コーティング 27 dが施され、 前記フェライトコア 27 Bに卷回され たコイルに周波数が 400 kHzの高周波を供給することにより、 前記ガス循環 通路 27 a内にプラズマ 27 Cが形成される。
プラズマ 27 Cの励起に伴って、 前記ガス循環通路 27 a中には窒素ラジカル および窒素イオンが形成されるが、 窒素イオンは前記循環通路 27 aを循環する 際に消滅し、 前記ガス出口 27 cからは主に窒素ラジカル N2*が放出される。 さ らに図 56の構成では前記ガス出口 27 cに接地されたイオンフィルタ 27 eを 設けることにより、 窒素イオンをはじめとする荷電粒子が除去され、 前記プロセ ス空間 84には窒素ラジカルのみが供給される。 また、 前記イオンフィルタ 27 eを接地させなレヽ場合においても、 前記イオンフィルタ 27 eの構造は拡散板と して作用し、 十分に窒素ィオンをはじめとする荷電粒子を除去することが可能に なる。
図 57は、 リモートプラズマ部 27により形成されるイオンの数と電子エネル ギの関係を、 マイク口波プラズマ源の場合と比較して示す。
図 57に示されるように、 マイクロ波によりプラズマを励起した場合には窒素 分子のイオンィ匕が促進され、 多量の窒素イオンが形成されることになる。 これに 対し 500 kHz以下の高周波によりプラズマを励起した場合には、 形成される 窒素イオンの数が大幅に減少する。 マイクロ波によりプラズマ処理を行う場合に は、 図 58に示すように 1. 33 X 10— 3〜1.33 X 10-6P a (10―1〜 10 一4 To r r)の高真空が必要になるが、高周波プラズマ処理は、 13. 3〜13. 3 k P a (0. l〜100To r r) の比較的高い圧力で実行可能である。 以下の表 1は、 マイクロ波によりプラズマを励起する場合と、 高周波によりプ ラズマを励起する場合との間での、 イオン化エネルギ変換効率、 放電可能圧力範 囲、 プラズマ消費電力、 プロセスガス流量の比較を示す。 ィ ノ r- オノ化工 放 ¾ J fgj土ノ/ ノ フ γ ノ Y Aノ J 不ノレ 車幽 Υ冃賈 刀 ス孤虽
効率
マイクロ波 1.00X10-2 0.1m〜0,lTorr 1〜500W 0〜
100SCCM 高周波 1.00X10-7 0.1〜崖 Torr 1〜: LOkW 0.1〜; L0SLM 表 1を参照するに、 イオン化エネルギ変換効率は、 マイクロ波励起の場合に約 1 X10—2程度であるのに対し、 RF励起の場合、約 1 X 10—7まで減少してお り、 また放電可能圧力はマイク口波励起の場合 0 · lmTo r r〜0. lTo r r (133mPa〜13. 3 P a ) 程度であるのに対し、 R F励起の場合には、 0. l〜100To r r (13. 3Pa〜13. 3 k P a ) 程度であることがわ カ る。 これに伴い、 プラズマ消費電力は RF励起の場合の方がマイクロ波励起の 場合よりも大きく、 プロセスガス流量は、 RF励起の場合の方がマイクロ波励起 の場合よりもはるかに大きくなっている。
基板処理装置 20では、 酸化膜の窒化処理を窒素イオンではなく窒素ラジカル N2*で行つており、 このため励起される窒素ィオンの数は少ない方が好まし!/、。 また被処理基板にカ卩えられるダメージを最小化する観点からも、 励起される窒素 イオンの数は少ないのが好ましい。 さらに基板処理装置 20では、 励起される窒 素ラジカルの数も少なく、 高誘電体ゲート絶縁膜下の非常に薄レ、、 せいぜい 2〜 3原子層程度の厚さしかないベース酸ィ匕膜を窒化するのに好適である。
図 59A、図 59Bは、それぞれ基板処理装置 20を使つて被処理基板 Wのラ ジカル窒ィ匕を行う場合を示す側面図および平面図である。
図 59A、 図 59 Bに示されるように、 リモートプラズマ部 27には A rガス と窒素ガスが供給され、 プラズマを数 100 kHzの周波数で高周波励起するこ とにより窒素ラジカルが形成される。 形成された窒素ラジカルは婦己被処理基板 Wの表面に沿って流れ、前記排気口 74およびポンプ 201を介して排気される。 その結果前記プロセス空間 84は、 ¾¾Wのラジカル窒ィ匕に適当な、 1. 33P a〜1 3. 3 k P a ( 0 . 0 1〜: L 0 0 T o r r ) の範囲のプロセス圧に設定さ れる。 このようにして形成された窒素ラジカルは、 前記被処理基 ¾gWの表面に沿 つて流れる際に、 被処理基板 Wの表面を窒ィヒする。
図 5 9 A、 図 5 9 Bの窒ィ匕工程では、 窒ィヒ工程に先立つパージ工程では前記バ ルブ 4 8 aおよび 2 1 2が開放され、 パルプ 4 8 aが閉鎖されることで前記プロ セス空間 8 4の圧力が 1 . 3 3 X 1。一1〜;!. 3 3 X 1 0— 4P aの圧力まで減圧 され、 プロセス空間 8 4中に残留している酸素や水分がパージされるが、 その後 の窒化処理ではパルプ 4 8 aおよび 2 1 2は閉鎖され、 ターボ分子ポンプ 5 0は プロセス空間 8 4の排気経路には含まれない。
このように、 基板処理装置 2 0を使うことにより、 被処理基板 Wの表面に非常 に薄い酸化膜を形成し、 その酸化膜表面をさらに窒化することが可能になる。 図 6 0 Aは、 基板処理装置 2 0により S i基板上に熱酸化処理により 2. O n mの厚さに形成された酸化膜を、 リモートプラズマ部 2 7を使って、 表 2に示す 条件で窒化した場合の前記酸化膜中における窒素濃度分布を示し、 図 6 0 Bは、 同じ酸化膜中における窒素濃度分布と酸素濃度分布との関係を示す。 表 2
Figure imgf000047_0001
表 2を参照するに、 基板処理装置 2 0を使つた R F窒化処理の際には、 前記プ ロセス空間 8 4中に窒素を 5 0 S C CMの流量で、 また A rを 2 S LMの流量で 供給し、 窒ィ匕処理は 1 T o r r ( 1 3 3 P a ) の圧力下で行われるが、 窒ィ匕処理 開始前に一且プロセス空間 8 4の内圧を 1 0— 6T o r r ( 1 .3 3 X 1 0— 4P a ) 程度まで減圧し、内部に残留して!/、る酸素あるいは水分を十分にパージしている。 このため、 前記 l T o r r程度の圧力で行われる窒化処理の際には、 プロセス空 間 8 4中において残留酸素は A rおよび窒素により希釈されており、 残留酸素濃 度、 従って残留酸素の熱力学的な活動度は非常に小さくなっている。
これに対し、 マイクロ波プラズマを使った窒化処理では、 窒ィヒ処理の際の処理 圧力がパージ圧と同程度であり、 従ってプラズマ雰囲気中において残留酸素は高 い熱力学的な活動度を有するものと考えられる。
図 6 O Aを参照するに、 マイクロ波励起プラズマにより窒化した場合には酸ィ匕 膜中に導入される窒素の濃度は限られており、 酸化膜の窒ィ匕は実質的に進行して いないことがわかる。 これに対し本実施例のように R F励起プラズマにより窒ィ匕 した場合には、 酸化膜中において窒素濃度が深さと共に 镍的に変化し、 表面近 傍では 2 0 %近い濃度に達していることがわかる。
図 6 1は、 X P S (X線分光スぺク トル) を使って行う図 6 0 Aの測定の原理 を示す。
図 6 1を参照するに、 シリコン基板 4 1 1上に酸化膜 4 1 2を形成された試料 には所定の角度で斜めに X線が照射され、 励起された X線スぺクトルを検出器 D E T 1 , D E T 2により、 様々な角度で検出する。 その際、 例えば 9 0 ° の深い 検出角に設定された検出器 D E T 1では励起 X線の酸化膜 4 1 2内における行路 が短く、 従って前記検出器 D E T 1で検出される X線スぺクトルには酸化膜 4 1 2の下部の情報を多く含まれるに対し、 浅レ、検出角に設定された検出器 D E T 2 では、 励起 X線の酸化膜 1 2中における行路が長く、 従って、 検出器 D E T 2は 主に酸化膜 4 1 2の表面近傍の情報を検出する。
図 6 0 Bは、 前記酸化膜中における窒素濃度と酸素濃度との関係を示す。 ただ し図 6 O B中、 酸素濃度は Ols軌道に対応する X線強度により表されている。 図 6 0 Bを参照するに、 酸化膜の窒化を本発明のように R Fリモートプラズマ で行った場合には、 窒素濃度の増大に伴って酸素濃度が減少しており、 酸化膜中 において窒素原子が酸素原子を置き換えていることがわかる。 これに対し、 酸化 膜の窒化をマイク口波プラズマで行った場合には、 このような置換関係は見られ ず、 窒素濃度と共に酸素濃度が低下する関係は見られない。 また特に図 6 0 Bに おいては、 マイクロ波窒化により 5〜 6 %の窒素を導入した例においては酸素濃 度の増加が見られており、 これは窒ィヒと共に酸化膜の増膜が起こることを示唆し ている。 このようなマイクロ波窒化に伴う酸素濃度の増加は、 マイクロ波窒化が 高真空中にぉレヽて行われ、 従って処理空間中に残留する酸素あるいは水分が高周 波リモートプラズマ窒化の場合のように A rガスや窒素ガスにより希釈されるこ とがなく、 雰囲気中において高い活動度を有することによるものと考えられる。 図 6 2は、 基板処理装置 2 0において酸化膜を 4 A ( 0. 4 n m) および 7 A ( 0. 7 n m) の厚さに形成し、 これを前記リモートプラズマ部 2 7を使った図 5 9 A、 図 5 9 Bの窒化工程により窒化した場合の窒ィ匕時間と膜中の窒素濃度と の関係を示す。 また図 6 3は、 図 6 2の窒化処理に伴う窒素の酸化膜膜表面への 偏折の様子を示す。 なお、 図 6 2及び図 6 3には、 酸化膜を急速熱酸化処理によ り 5 A ( 0 . 5 n m) および 7 A ( 0. 7 n m) の厚さに形成した場合をも示し ている。
図 6 2を参照するに、 膜中の窒素濃度は、 いずれの酸化膜であっても窒化処理 時間と共に上昇するが、 特に紫外光ラジカル酸化により形成された 2原子層分に 対応する 0. 4 n mの膜厚を有する酸化膜の場合に、 あるいはこれに近い 0. 5 n mの廳を有する熱酸ィ匕膜の場合には、 酸ィヒ膜が薄いため、 同一成膜条件にお いて膜中の窒素濃度が高くなつている。
図 6 3は図 6 1において検出器 D E T 1および D E T 2をそれぞれ 3 0 ° お よび 9 0 ° の検出角に設定して窒素濃度を検出した結果を示す。
図 6 3よりわかるように、 図 6 3の縦軸は 3 0° の検出角で得られる膜表面に 偏析している窒素原子からの X線スペクトル強度を、 9 0° の検出角で得られる 膜全体に分散して ヽる窒素原子からの X線スぺクトル強度の値で割つたものにな つており、 これを窒素偏析率と定義する。 この値が 1以上の場合には、 表面への 窒素の偏析が生じている。 ·
図 6 3を参照するに、 酸ィ匕膜が紫外光励起酸素ラジカル処理により 7 Aの HJ¥ に形成されたものの場合, 窒素偏析率が 1以上となり、 窒素原子は当初表面に偏 析し、 図 1中の酸窒化膜 1 2 Aのような状態になっているものと考えられる。 ま た 9 0秒間の窒ィ匕処理を行った後では、 膜中にほぼ一様に分布していることがわ 力る。 また他の膜でも、 9 0秒間の窒化処理で、 窒素原子の膜中の分布はほぼ一 様になることがわかる。 図 64の実験では、 基板処理装置 20において、 前記紫外光ラジカル酸ィ匕処理 およびリモートプラズマ窒化処理を、 10枚のウェハ (ウェハ # 1〜ウェハ # 1 0) について繰り返し実行した。 図 64は、 このようにして得られた酸窒化膜の ウェハ毎の Ilff変動を示す。 ただし図 64の結果は、 基板処理装置 20において 紫外線光源 86, 87を駆動して行う紫外光ラジカル酸化処理の際、 X P S測定 により求めた酸化膜の膜厚が 0. 4 nmになるように酸化膜を形成し、 次いでこ のようにして形成された酸化膜を、 前記リモートプラズマ部 27を駆動して行う 窒化処理により、 窒素原子を約 4 %含む酸窒ィ匕膜に変換した場合についてのもの である。
図 64を参照するに、 縦軸は、 このようにして得られた酸窒化膜についてエリ プソメ トリにより求めた膜厚を示すが、 図 64よりわかるように得られた膜厚は ほぼ 8 A (0. 8 nm) で、 一定していることがわかる。
図 65は、 基板処理装置 20により膨?:が 0. 4n mの酸化膜をシリコン基板 上に紫外線光源 86, 87を使つたラジカル酸ィ匕処理により形成した後、 これを リモートプラズマ部 27により窒ィヒした場合の、 窒化による Hff增を調べた結果 を示す。
図 65を参照するに、 当初 (窒化処理を行う前) 膜厚が約 0. 38nmであつ た酸化膜は、窒ィ匕処理により 4〜 7 %の窒素原子を導入された時点で膜厚が約 0. 5 nmまで増大しているのがわかる。 一方、 窒化処理により窒素原子を約 15% 導入した場合には膜厚は約 1. 3 nmまで増大しており、 この場合には導入され た窒素原子が酸化膜を通過してシリコン基板中に侵入し、 窒化膜を形成している ものと考えられる。
図 65中には、 厚さが 0. 4 nmの酸化膜中に窒素を一層分だけ導入した理想 的なモデル構造についての窒素濃度と膜厚との関係を▲で示している。
図 65を参照するに、 この理想的なモデル構造では、 窒素原子導入後の膜厚が 約 0. 5 n mとなり、その: ^の膜厚の増加は約 0. 1 nm,窒素濃度は約 12 % となる。 このモデルを基準とすると、 基板処理装置 20により酸化膜の窒化を行 う場合、 膜厚增は同程度の 0. 1〜0. 2 nmに抑制するのが好ましいことが結 論される。 またその際に膜中に取り込まれる窒素原子の量は、 最大で 12%程度 になると見積もられる。 ·
なお、 以上の説明では、 基板処理装置 2 0を使って非常に薄いベース酸ィ匕膜を 形成する例を説明したが、 本発明はかかる特定の実施例に限定されるものではな く、 シリコン基板あるいはシリコン層上に高品質の酸化膜、 窒化膜あるいは酸窒 化膜を、 所望の膜厚に形成するのに適用することが可能である。
以上、 本 明を好ましい実施例について説明したが、 本発明は上記の特定の実 施例に限定されるものではなく、 特許請求の範囲に記載した要旨内において様々 な変形,変更が可能である。

Claims

請求の範囲
1 . 内部の処理空間が画成された処理容器と、
前記処理空間に紫外線を照射する紫外線光源と、
前記処理容器の内壁を覆うように形成され、 前記紫外線光源に対向する位置に 紫外線が通過する開口を有する石英製の不透明ケースと、
該不透明ケースの内部に挿入された被処理基板を所定温度に加熱するヒータ部 と、
前記被処理基板を前記ヒータ部の上方に保持する保持部材と、
前記ヒ一タ部を貫通した前記保持部材の軸を回転駆動する回転駆動手段と、 を備えたことを特徴とする基板処理装置。
2 . クレーム 1記載の基板処理装置において、
前記不透明ケースは、
前記保持部材に保持された前記被処理基板の周囲を囲むように形成され、 前記 被処理基板が通過する第 1の開口部を有する側面ケースと、
前記側面ケースの上部を覆うように取り付けられ、 前記紫外線光源に対向する 第 2の開口部を有する上部ケースと、
前記側面ケースの下部を覆うように取り付けられ、 前記被処理基板を昇降させ る昇降部材が通過する第 3の開口部を有する下部ケースと、
を組み合わせたことを特徴とする基板処理装置。
3 . クレーム 2記載の基板処理装置において、
前記不透明ケースは、 前記ヒータ部の外周を覆う筒状ケースを有することを特 徴とする基板処理装置。
4. クレ―ム 3記載の基板処理装置において、
前記ヒータ部は、 石英により形成された透明ケースの内部に発熱体を収納させ たことを特徴とする基板処理装置。
5 . クレーム 4記載の基板処理装置において、
前記不透明ケースの内部を減圧すると共に、 t&t己透明ケースの内部空間を することを特徴とする基板処理装置。
6 . クレーム 4記載の基板処理装置において、
前記透明ケースの上面に前記発熱体により加熱される S i C製の加熱板を設け、 該加熱板を前記下部ケースの第 3の開口部より前記不透明ケースの内部に挿入す ることを特徴とする基板処理装置。
7 . クレーム 1記載の基板処理装置において、
前記処理容器の側面に紫外線を遮断する UVガラス窓を設けたことを特徴とす
8 . クレーム 7記載の基板処理装置において、
前記 UVガラス窓は、
前記保持部材に保持された前記被処理基板の外周より一側に位置する第 1の窓 と、
前記保持部材に保持された前記被処理基板の外周より他側に位置する第 2の窓と を有することを特徴とする基板処理装置。
9 . クレーム 7記載の基板処理装置において、
前記 UVガラス窓は、
紫外線を遮断する UVガラスと、
透明な石英により形成された透明石英と、
を対向させた 2重構造であることを特徴とする基板処理装置。
1 0 . クレーム 9記載の基板処理装置において、
前記 UVガラス窓は、
前記保持部材に保持された前記被処理基板の外周より一側に位置する第 1の窓 と、
前記保持部材に保持された前記被処理基板の外周より他側に位置する第 2の窓と を有することを特徴とする基板処理装置。
1 1 . クレーム 1記載の基板処理装置において、
前記保持部材は、 透明石英により形成された複数の腕部を有し、
該複数の腕部により前記被処理基板の下面を支持することを特徴とする基板処
2 . クレーム 1 1記載の基板処理装置において、 前記複数の腕部は、 前記被処理基板の下面に対し点接触で支持することを特徴 とする基板処理装置。
PCT/JP2003/012085 2002-09-24 2003-09-22 基板処理装置 WO2004030065A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP03798443A EP1544904A4 (en) 2002-09-24 2003-09-22 SUBSTRATE PROCESSING DEVICE
US10/529,184 US20060057799A1 (en) 2002-09-24 2003-09-22 Substrate processing apparatus
AU2003266565A AU2003266565A1 (en) 2002-09-24 2003-09-22 Substrate processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-278199 2002-09-24
JP2002278199A JP3877157B2 (ja) 2002-09-24 2002-09-24 基板処理装置

Publications (1)

Publication Number Publication Date
WO2004030065A1 true WO2004030065A1 (ja) 2004-04-08

Family

ID=32040423

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/012085 WO2004030065A1 (ja) 2002-09-24 2003-09-22 基板処理装置

Country Status (8)

Country Link
US (1) US20060057799A1 (ja)
EP (1) EP1544904A4 (ja)
JP (1) JP3877157B2 (ja)
KR (1) KR100575955B1 (ja)
CN (1) CN100433272C (ja)
AU (1) AU2003266565A1 (ja)
TW (1) TWI244108B (ja)
WO (1) WO2004030065A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7837794B2 (en) * 2007-03-29 2010-11-23 Nuflare Technology, Inc. Vapor phase growth apparatus and vapor phase growth method

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100439276B1 (ko) * 2003-11-24 2004-07-30 코닉 시스템 주식회사 급속열처리 장치
JP4369448B2 (ja) * 2006-06-23 2009-11-18 東京エレクトロン株式会社 石英製品のベーク方法
JP5051875B2 (ja) * 2006-12-25 2012-10-17 東京エレクトロン株式会社 成膜装置および成膜方法
JP4326570B2 (ja) * 2007-04-17 2009-09-09 東京エレクトロン株式会社 ヒータ素線の寿命予測方法,熱処理装置,記録媒体,ヒータ素線の寿命予測処理システム
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
JP5565892B2 (ja) * 2008-06-13 2014-08-06 芝浦メカトロニクス株式会社 プラズマ処理装置、プラズマ処理方法、および電子デバイスの製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TW201315834A (zh) * 2011-10-13 2013-04-16 Ind Tech Res Inst 有機金屬化學汽相沈積的方法與裝置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN102974573A (zh) * 2012-12-18 2013-03-20 中国科学院微电子研究所 一种对纳米图形进行紫外清洗的装置及方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104064508B (zh) * 2014-07-08 2017-07-25 上海华力微电子有限公司 消除晶圆曝光失焦缺陷的吸盘及方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10143993B2 (en) * 2015-08-18 2018-12-04 Lam Research Corporation Radical generator and method for generating ammonia radicals
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6770887B2 (ja) * 2016-12-28 2020-10-21 株式会社Screenホールディングス 基板処理装置および基板処理システム
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7186114B2 (ja) * 2019-03-15 2022-12-08 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD990538S1 (en) * 2021-02-05 2023-06-27 Syskey Technology Co., Ltd. Miniaturized semiconductor manufacturing device
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD989830S1 (en) * 2021-05-14 2023-06-20 Hitachi High-Tech Corporation Semiconductor substrate transfer apparatus
USD989831S1 (en) * 2021-05-14 2023-06-20 Hitachi High-Tech Corporation Apparatus for evaluating semiconductor substrate
USD989144S1 (en) * 2021-05-14 2023-06-13 Hitachi High-Tech Corporation Apparatus for evaluating semiconductor substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0660138U (ja) * 1993-01-13 1994-08-19 日新電機株式会社 プラズマcvd装置における観察窓
US5903711A (en) * 1996-03-26 1999-05-11 Toyko Electron Limited Heat treatment apparatus and heat treatment method
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2341815A1 (fr) * 1976-02-23 1977-09-16 Nath Guenther Appareil emetteur d'un rayonnement dans le domaine spectral ultraviolet
JPS60189927A (ja) * 1984-03-12 1985-09-27 Matsushita Electric Ind Co Ltd 気相反応容器
US4919077A (en) * 1986-12-27 1990-04-24 Mitsubishi Denki Kabushiki Kaisha Semiconductor producing apparatus
JPS63208218A (ja) * 1987-02-25 1988-08-29 Hitachi Ltd プラズマ処理終点判定方法及び装置
JPH0897167A (ja) * 1994-09-28 1996-04-12 Tokyo Electron Ltd 処理装置及び熱処理装置
JP3859259B2 (ja) * 1995-07-13 2006-12-20 三星電子株式会社 紫外線照射装置
US5651827A (en) * 1996-01-11 1997-07-29 Heraeus Quarzglas Gmbh Single-wafer heat-treatment apparatus and method of manufacturing reactor vessel used for same
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5965047A (en) * 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
CN2361640Y (zh) * 1998-01-22 2000-02-02 河北省机电一体化中试基地 一种气相生长金刚石膜的反应室
WO1999049101A1 (en) * 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
CN2438725Y (zh) * 2000-09-22 2001-07-11 中国科学院半导体研究所 氧化膜外延设备
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
US6455814B1 (en) * 2001-11-07 2002-09-24 Applied Materials, Inc. Backside heating chamber for emissivity independent thermal processes
JP3887291B2 (ja) * 2002-09-24 2007-02-28 東京エレクトロン株式会社 基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0660138U (ja) * 1993-01-13 1994-08-19 日新電機株式会社 プラズマcvd装置における観察窓
US5903711A (en) * 1996-03-26 1999-05-11 Toyko Electron Limited Heat treatment apparatus and heat treatment method
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1544904A4 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7837794B2 (en) * 2007-03-29 2010-11-23 Nuflare Technology, Inc. Vapor phase growth apparatus and vapor phase growth method

Also Published As

Publication number Publication date
JP2004119522A (ja) 2004-04-15
CN100433272C (zh) 2008-11-12
KR20050065549A (ko) 2005-06-29
AU2003266565A1 (en) 2004-04-19
CN1685484A (zh) 2005-10-19
EP1544904A4 (en) 2010-09-22
TW200416783A (en) 2004-09-01
JP3877157B2 (ja) 2007-02-07
US20060057799A1 (en) 2006-03-16
EP1544904A1 (en) 2005-06-22
KR100575955B1 (ko) 2006-05-02
TWI244108B (en) 2005-11-21

Similar Documents

Publication Publication Date Title
WO2004030065A1 (ja) 基板処理装置
JP3887291B2 (ja) 基板処理装置
WO2003063220A1 (fr) Dispositif et procede pour traiter un substrat, et appareil de production de dispositifs a semiconducteurs
US8070910B2 (en) Shower head structure and treating device
US7867920B2 (en) Method for modifying high-k dielectric thin film and semiconductor device
JP3556804B2 (ja) 処理装置及び処理方法
US20020035962A1 (en) Photo-excited gas processing apparatus for semiconductor process
US20030170945A1 (en) Radical processing of a sub-nanometer insulation film
WO2006049199A1 (ja) 絶縁膜形成方法および基板処理方法
US6287984B1 (en) Apparatus and method for manufacturing semiconductor device
JP2006190770A (ja) 基板処理装置
JP2006216625A (ja) 薄膜形成装置,薄膜及びその形成方法,半導体装置及びその製造方法
WO2004030063A1 (ja) 基板処理装置
WO2004030066A1 (ja) 基板処理装置
WO2004030054A1 (ja) 基板処理装置
JP3770870B2 (ja) 基板処理方法
JP4078370B2 (ja) 基板処理装置
WO2004079804A1 (ja) 基板処理装置および半導体装置の製造方法
JPH1032195A (ja) 酸窒化膜製造用ランプ加熱炉

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057005016

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 2006057799

Country of ref document: US

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2003798443

Country of ref document: EP

Ref document number: 10529184

Country of ref document: US

Ref document number: 20038227487

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2003798443

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057005016

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1020057005016

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 10529184

Country of ref document: US