US20160372360A1 - Semiconductor structure with junction leakage reduction - Google Patents

Semiconductor structure with junction leakage reduction Download PDF

Info

Publication number
US20160372360A1
US20160372360A1 US14/742,550 US201514742550A US2016372360A1 US 20160372360 A1 US20160372360 A1 US 20160372360A1 US 201514742550 A US201514742550 A US 201514742550A US 2016372360 A1 US2016372360 A1 US 2016372360A1
Authority
US
United States
Prior art keywords
well region
semiconductor substrate
region
dti
conductive type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/742,550
Other languages
English (en)
Inventor
Chun-Chieh Fang
Chien-Chang Huang
Chi-Yuan Wen
Jian Wu
Ming-Chi Wu
Jung-Yu CHENG
Shih-Shiung Chen
Wei-Tung Huang
Yu-Lung Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/742,550 priority Critical patent/US20160372360A1/en
Priority to DE102015110584.5A priority patent/DE102015110584B4/de
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, SHIH-SHIUNG, HUANG, WEI-TUNG, CHENG, JUNG-YU, FANG, CHUN-CHIEH, HUANG, CHIEN-CHANG, WEN, CHI-YUAN, WU, JIAN, WU, MIN-CHI, YEH, YU-LUNG
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, SHIH-SHIUNG, CHENG, JUNG-YU, FANG, CHUN-CHIEH, HUANG, CHIEN-CHANG, HUANG, WEI-TUNG, WEN, CHI-YUAN, WU, JIAN, WU, MING-CHI, YEH, YU-LUNG
Priority to KR1020150132650A priority patent/KR101786202B1/ko
Priority to CN201610055864.2A priority patent/CN106257633B/zh
Publication of US20160372360A1 publication Critical patent/US20160372360A1/en
Priority to US17/694,380 priority patent/US20220199459A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • H01L21/2652Through-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • H01L29/1045Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface the doping structure being parallel to the channel length, e.g. DMOS like

Definitions

  • IoT Internet of Things
  • wearable devices have the advantages of wearable characteristics and small size.
  • An embedded flash integrated circuit may be applied to such wearable devices for minimizing device size.
  • such embedded flash integrated circuit may generate a non-negligible leakage current that results in additional power consumption, and consequently shortening standby time of the wearable devices. How to reduce leakage current in small and concentrative integrated circuits has now become one of the major tasks in related industries.
  • FIG. 1A through FIG. 1H are schematic cross-sectional views of intermediate stages illustrating a method of forming a semiconductor device in accordance with some embodiments.
  • FIG. 2A through FIG. 2B are schematic cross-sectional views of intermediate stages illustrating a method of forming a deep trench of a semiconductor device in accordance with another embodiments.
  • FIG. 3 is a flow chart of a method of forming a semiconductor substrate in accordance with various embodiments.
  • FIG. 4A through FIG. 4C are schematic cross-sectional views of intermediate stages illustrating a method of forming a semiconductor device in accordance with some embodiments.
  • FIG. 5 illustrates formation of a well region using an ion implantation process with non-zero tilting angle in accordance with some embodiments.
  • FIG. 6 illustrates formation of a well region using an ion implantation process in accordance with some embodiments.
  • FIG. 7 is a schematic cross-sectional view of a laterally diffused metal oxide semiconductor (LDMOS) in accordance with some embodiments.
  • LDMOS laterally diffused metal oxide semiconductor
  • FIG. 8 is a flow chart of a method of forming a semiconductor device in accordance with various embodiments.
  • first and second are used for describing various elements, though such terms are only used for distinguishing one element from another element. Therefore, the first element may also be referred to as the second element without departing from the spirit of the claimed subject matter, and the others are deduced by analogy.
  • Embodiments of the present disclosure are directed to providing a semiconductor structure with a deep trench isolation (DTI).
  • the DTI is formed below a shallow trench isolation (STI) and is substantially located between two adjacent well regions with different conductive types. Because of the DTI, the path of the leakage current flowing through the well regions is lengthened, such that the leakage current is reduced. Further, tilting variation of the ion implantation process due to cone angle effect can be neglected.
  • the semiconductor structure of the present disclosure may be useful for such as memory integrated circuits, CMOS image sensors, temperature sensors, and/or the like.
  • the semiconductor structure of the present disclosure used in memory integrated circuits may help reduce power consumption or even improve reading/writing performance because read/write error due to excessive leakage current is reduced.
  • FIG. 1A to FIG. 1F illustrate schematic cross-sectional views of intermediate stages showing a method of forming a semiconductor device 100 in accordance with some embodiments of the present disclosure.
  • a semiconductor substrate 102 is provided.
  • the semiconductor substrate 102 includes such as silicon, bulk silicon, germanium or diamond.
  • the semiconductor substrate 102 may include a compound semiconductor such as silicon carbide, silicon germanium, gallium arsenide, gallium carbide, gallium phosphide, indium arsenide and indium phosphide, or an alloy semiconductor, such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide and gallium indium phosphide.
  • the semiconductor substrate 102 may be a bulk substrate or a silicon-on-insulator (SOI) substrate.
  • a pad layer 104 is formed on the semiconductor substrate 102 , and a barrier layer 106 is formed on the pad layer 104 .
  • the pad layer 104 includes such as silicon oxide
  • the barrier layer 106 includes such as silicon nitride.
  • the pad layer 104 is formed by a process such chemical vapor deposition (CVD) process, thermal oxidation process, or another suitable process
  • the barrier layer 106 is formed by a deposition process such as CVD process, low pressure CVD (LPCVD) process, plasma enhanced CVD (PECVD) process, or another suitable process.
  • an etching process is performed to etch the barrier layer 106 , the pad layer 104 and the semiconductor substrate 102 .
  • a patterned photoresist layer (not shown) is used as a mask, so as to form a shallow trench 108 through the pad layer 104 , the barrier layer 106 and a portion of the semiconductor substrate 102 .
  • the etching process for forming the shallow trench 108 includes such as an anisotropic etching process, an isotropic etching process, or another suitable etching process.
  • the patterned photoresist layer (not shown) is stripped.
  • a protective layer 110 is formed on the semiconductor substrate 102 , the pad layer 104 and the barrier layer 106 for covering the shallow trench 108 .
  • the protective layer 110 may include a material such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, combinations thereof, and/or the like.
  • the protective layer 110 may be a hard mask layer, and may be a single-layer or multi-layer structure. In some embodiments, the protective layer 110 is a two-layer structure, which includes an oxide layer and a nitride layer on the oxide layer.
  • the protective layer 110 is formed by using one or more deposition processes, such as CVD process, PECVD process, high density plasma (HDPCVD) process, physical vapor deposition (PVD) process, atomic layer deposition (ALD) process, thermal oxidation process, combinations thereof, and/or the like.
  • CVD process high density plasma
  • PECVD high density plasma
  • HDPCVD high density plasma
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • thermal oxidation process combinations thereof, and/or the like.
  • a first etching process is performed to the protective layer 110 .
  • the first etching process is performed until at least a portion of a bottom surface of the shallow trench 108 is exposed by the protective layer 110 .
  • the first etching process is performed until at least a portion of a bottom surface of the shallow trench 108 is exposed by the protective layer 110 .
  • the first etching process may include such as a dry etching process, a wet etching process, a plasma etching process, a reactive ion etching (RIE) process, or another suitable etching process.
  • RIE reactive ion etching
  • the exposed area may be at center position of the bottom surface of the shallow trench 108 , or another position, in accordance with various requirements.
  • a second etching process is then performed on the portion of the bottom surface of the shallow trench 108 .
  • the remained protective layer 110 acts as a photoresist for protecting the other portion of the shallow trench 108 from being etched.
  • the second etching process may include such as a dry etching process, a wet etching process, a RIE process, or another suitable process.
  • a deep trench 112 is formed below the bottom surface of the shallow trench 108 .
  • the shape, width and location of the deep trench 112 may be determined by the pattern of the protective layer 110 , and the thickness of the deep trench 112 may be determined by the time duration of the second etching process.
  • the deep trench 112 is formed having the thickness of at least 1000 angstroms.
  • the remaining protective layer 110 is removed.
  • the barrier layer 106 and the pad layer 104 are removed.
  • the removing process applied to the protective layer 110 , the barrier layer 106 and the pad layer 104 may include one or more etching processes, such as wet etching process, dry etching process, combinations thereof, or another suitable process.
  • the shallow trench 108 and the deep trench 112 are filled with an isolation oxide, so as to form a STI 114 and a DTI 116 respectively.
  • the isolation oxide includes a material such as silicon oxide, silicon dioxide, carbon doped silicon dioxide, nitrogen doped silicon dioxide, germanium doped silicon dioxide, phosphorus doped silicon dioxide, combinations thereof, or the like.
  • the isolation oxide is deposited by such as a HDP CVD process, a HARP, a CVD process, a SACVD process, or another suitable process.
  • a chemical mechanical polishing (CMP) process may be performed to planarize the upper surface of the STI 114 .
  • the deep trench of the semiconductor device 100 may be formed by performing a dry etching process first and a wet etching process after the dry etching process.
  • FIG. 2A through FIG. 2B are schematic cross-sectional views of intermediate stages illustrating a method of forming a deep trench of a semiconductor device in accordance with another embodiments.
  • a dry etching process is performed to the protective layer 110 .
  • the dry etching process is performed until at least a portion of a bottom surface of the shallow trench 108 is exposed by the protective layer 110 .
  • the dry etching process may include a plasma etching process, a sputter etching process, a RIE process, or other suitable process.
  • the dry etching process is performed until at least a portion of a bottom surface of the shallow trench 108 is exposed by the protective layer 100 . As shown in FIG. 2A , the periphery area of the bottom surface of the shallow trench 108 is exposed. In various embodiments, the exposed area may be at center position of the bottom surface of the shallow trench 108 , or another position, in accordance with various requirements.
  • a deep trench 112 ′ is formed below the bottom surface of the shallow trench 108 .
  • the dry etching process may cause damage to the semiconductor substrate 102 .
  • the plasma etching process may cause crystal defects or dislocations of the semiconductor substrate 102 the bottom face and the side face of the deep trench 112 ′.
  • a wet etching process is performed to deeper the deep trench 112 ′.
  • the wet etching process may be isotropic or anisotropic.
  • the enchant used for the etching process may be selected in accordance with the material of the semiconductor substrate 102 .
  • the bottom face and the side face of the deep trench 112 ′ with defects (crystal defects and/or dislocations) are removed from the semiconductor substrate 102 , thereby improving yield rate of the semiconductor device 100 .
  • the deep trench 112 ′ shown in FIG. 2B is for illustrative purposes only and is not meant to limit the scope of the present disclosure.
  • the shape, width and location of the deep trench 112 ′ may be determined by the pattern of the protective layer 110 , and the thickness of the deep trench 112 ′ may be determined by the time duration of the wet etching process.
  • the deep trench 112 ′ is formed having the thickness of at least 1000 angstroms.
  • a thickness ratio of the STI 114 to the deep trench 112 ′ is about 0.5 to about 10.
  • FIG. 3 is a flow chart of a method 200 for fabricating a semiconductor device 100 in accordance with some embodiments.
  • the method 200 begins at operation 202 , where a semiconductor substrate 102 is provided.
  • the semiconductor substrate 102 includes such as silicon, bulk silicon, germanium or diamond.
  • the semiconductor substrate 102 may include a compound semiconductor such as silicon carbide, silicon germanium, gallium arsenide, gallium carbide, gallium phosphide, indium arsenide and indium phosphide, or an alloy semiconductor, such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide and gallium indium phosphide.
  • the semiconductor substrate 102 may be a bulk substrate or a SOI substrate.
  • a pad layer 104 is formed on the semiconductor substrate 102
  • a barrier layer 106 is formed on the pad layer 104 .
  • the pad layer 104 includes such silicon oxide, and is formed by such as a CVD process, a thermal oxidation process, or another suitable process.
  • the barrier layer 106 includes such as silicon nitride, and is formed by such as a CVD process, a LPCVD process, a PECVD process, or another suitable process.
  • an etching process is performed to etch the barrier layer 106 , the pad layer 104 and the semiconductor substrate 102 by using a patterned photoresist layer (not shown) as a mask, so as to form a shallow trench 108 through the pad layer 104 , the barrier layer 106 and a portion of the semiconductor substrate 102 .
  • the etching process for forming the shallow trench 108 includes such as an anisotropic etching process, an isotropic etching process, or another suitable etching process.
  • the patterned photoresist layer (not shown) is stripped.
  • a protective layer 110 is formed on the semiconductor substrate 102 , the pad layer 104 and the barrier layer 106 for covering the shallow trench 108 .
  • the protective layer 110 may include a material such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, combinations thereof, and/or the like.
  • the protective layer 110 may be a hard mask layer, and may be a single-layer or multi-layer structure. In some embodiments, the protective layer 110 is a two-layer structure, which includes an oxide layer and a nitride layer on the oxide layer.
  • the protective layer 110 is formed by using one or more deposition processes, such as CVD process, PECVD process, HDPCVD process, PVD process, ALD process, thermal oxidation process, combinations thereof, and/or the like.
  • a first etching process is performed to the protective layer 110 .
  • the first etching process is performed until at least a portion of a bottom surface of the shallow trench 108 is exposed by the protective layer 110 .
  • the first etching process is performed until at least a portion of a bottom surface of the shallow trench 108 is exposed by the protective layer 100 .
  • the first etching process may include such as a dry etching process, a wet etching process, a plasma etching process, a reactive ion etching process, or another suitable etching process.
  • a second etching process is then performed on the portion of the bottom surface of the shallow trench 108 .
  • the protective layer 110 remained after the first etching process is used for protecting the other portion of the shallow trench 108 from being etched during the second etching process.
  • the second etching process may include such as a dry etching process, a wet etching process, a RIE process, or another suitable process.
  • a deep trench 112 is formed below the bottom surface of the shallow trench 108 .
  • the shape, width and location of the deep trench 112 may be determined by the pattern of the protective layer 110 , and the thickness of the deep trench 112 may be determined by the time duration of the second etching process.
  • the deep trench 112 is formed having the thickness of at least 1000 angstroms.
  • the remaining protective layer 110 , the barrier layer 106 and the pad layer 104 are removed.
  • the applied removing process may include one or more etching processes, such as wet etching process, dry etching process, combinations thereof, or another suitable process.
  • the shallow trench 108 and the deep trench 112 are filled with an isolation oxide, so as to form a STI 114 and a DTI 116 respectively.
  • the isolation oxide includes a material such as silicon oxide, silicon dioxide, carbon doped silicon dioxide, nitrogen doped silicon dioxide, germanium doped silicon dioxide, phosphorus doped silicon dioxide, combinations thereof, or the like.
  • a deposition process such as HDP CVD process, HARP, CVD process, SACVD process, or another suitable process, is perform to fill the isolation oxide into the shallow trench 108 and the deep trench 112 .
  • a CMP process may be performed to planarize the upper surface of the STI 114 .
  • FIG. 4A to FIG. 4C illustrate schematic cross-sectional views of intermediate stages showing a method of forming a semiconductor device 300 in accordance with some embodiments of the present disclosure.
  • a semiconductor substrate 302 , a STI 304 and a DTI 306 are provided, and a well region 308 is formed on the semiconductor substrate 302 .
  • the semiconductor substrate 302 , the STI 304 and the DTI 306 may be the semiconductor substrate 102 , the STI 114 and the DTI 116 shown in FIG. 1 , respectively.
  • the semiconductor substrate 302 may be a P-type or N-type semiconductor substrate.
  • the conductive type of the well region 308 may be P-type or N-type.
  • the dopant for implanting into the well region 308 may include boron for P-type well region, or phosphorous and/or arsenic for an N-type well region.
  • the well region 308 may be a high voltage well with dopant concentration of between 10 13 atoms/cm 2 and 10 16 atoms/cm 2 , for example.
  • the well region 308 may be formed by a process such as ion implantation process, diffusion process, or the like. As shown in FIG. 4A , the DTI 306 is located in the well region 308 after the well region 308 is formed.
  • an active region 310 is formed on the well region 308 .
  • the active region 310 may be formed by a process such as ion implantation process, diffusion process, or another suitable process.
  • the conductive type of the active region 310 is different from that of the well region 308 .
  • the active region 310 is P-type while the well region 308 is N-type.
  • a photoresist 312 is formed on the active region 310 , and an ion implantation process is performed through the STI 304 to form a well region 314 on the semiconductor substrate 302 and laterally adjacent to the well region 308 .
  • the photoresist 312 may be a positive photoresist or a negative photoresist, which is used for protecting the active region 310 from being damaged by the subsequent ion implantation processes.
  • the conductive type of the well region 314 is the same as the active region 310 , and is different from that of the well region 308 .
  • the well region 314 and the active region 310 are P-type
  • the well region 308 is N-type.
  • the well region 314 and the active region 310 are N-type, and the well region 308 is P-type.
  • the DTI 306 is located in the well region 308 and near to the boundary between the well regions 308 and 314 .
  • the DTI 306 is located between the well region 314 and a majority of the well region 308 .
  • the path of the leakage current I LEAK from the well region 314 toward the active region 310 is lengthened, such that the leakage current I LEAK can be reduced.
  • FIG. 4C illustrates the ion implantation process is performed with a tilting angle of zero.
  • the tilting angle of the ion implantation process may be up to 7 degrees for fabricating semiconductor substrate 300 at the periphery area of the wafer.
  • FIG. 5 illustrates formation of the well region 314 using the ion implantation process with non-zero tilting angle in accordance with some embodiments. As shown in FIG. 5 , after the ion implantation process, the well region 314 is formed, such that the DTI 306 is located at the boundary between the well regions 308 and 314 . As can be seen from FIG.
  • the path of the leakage current I LEAK from the well region 314 toward the active region 310 is lengthened because of the DTI 306 and, therefore, the leakage current I LEAK can be reduced in a similar manner as described above with reference to FIG. 4C .
  • the DTI 306 may be located in the well region 314 and near to the boundary between the well regions 308 and 314 . Such structure also helps lengthen the path of the leakage current I LEAK from the well region 314 toward the active region 310 , thus reducing the leakage current I LEAK .
  • FIG. 6 illustrates formation of a well region using an ion implantation process in accordance with some embodiments.
  • the DTI 306 ′ shown in FIG. 6 is formed corresponding to the deep trench 112 ′ shown in FIG. 2B .
  • the well region 314 is formed, such that the DTI 306 ′ is located at the boundary between the well regions 308 and 314 .
  • the path of the leakage current I LEAK from the well region 314 toward the active region 310 is lengthened because of the DTI 306 ′ and, therefore, the leakage current I LEAK can be reduced.
  • the semiconductor structure of the present disclosure can reduce leakage current through well regions.
  • memory integrated circuits e.g., flash memory chips
  • the semiconductor structure of the present disclosure may be applied to other types of integrated circuits as well, such as CMOS image sensors, temperature sensors, and/or the like.
  • FIG. 7 is a schematic cross-sectional view of a semiconductor structure 400 in accordance with some embodiments.
  • the semiconductor structure 400 may a laterally diffused metal oxide semiconductor (LDMOS), a vertical diffused metal oxide semiconductor (VDMOS), or the like.
  • LDMOS laterally diffused metal oxide semiconductor
  • VDMOS vertical diffused metal oxide semiconductor
  • a P-type implant region 412 is formed on a P-type semiconductor substrate 410
  • a N-type well region 414 is formed on the semiconductor substrate 410 and adjacent to the P-type implant region 412 .
  • a N-type implant region 416 is formed in the N-type well region 414 .
  • a gate dielectric 418 and a gate electrode 420 are sequentially formed on the substrate 410 , the P-type implant region 412 and the N-type well region 414 .
  • the gate electrode 420 may be a conductive gate structure, such as polysilicon gate structure, metal gate structure or other suitable gate electrode.
  • a gate spacer 422 is formed on sidewalls of the gate dielectric 418 and the gate electrode 420 .
  • a STI 424 A is formed on the P-type implant region 412
  • STIs 426 A and 428 A are formed on the N-type well region 414 and the N-type implant region 416
  • DTIs 424 B, 426 B and 428 B are formed below the STIs 424 A, 426 A and 428 A, respectively.
  • the STIs 424 A, 426 A and 428 A and the DTIs 424 B, 426 B and 428 B may be similar to the STI 114 and the DTI 116 in FIG. 1H respectively.
  • the lightly doped drain (LDD) region 430 is formed in the P-type implant region 412 and below the gate spacer 422 .
  • the source/drain electrode 432 is formed between the STI 424 A and the LDD region 430
  • the source/drain electrode 434 is formed between the STIs 426 A and 428 A.
  • a N-type implant region 412 is formed on a N-type semiconductor substrate 410 , and a P-type well region 414 is formed on the substrate and adjacent to the N-type implant region 412 .
  • a P-type implant region 416 is formed in the P-type well region 414 .
  • a gate dielectric 418 and a gate electrode 420 are sequentially formed on the N-type semiconductor substrate 410 , the N-type implant region 412 and the P-type well region 414 .
  • a gate spacer 422 is formed on sidewalls of the gate dielectric 418 and the gate electrode 420 .
  • a STI 424 A is formed on the N-type implant region 412
  • STIs 426 A and 428 A are formed on the P-type well region 414 and the P-type implant region 416
  • DTIs 424 B, 426 B and 428 B are formed below the STIs 424 A, 426 A and 428 A, respectively.
  • the lightly doped drain (LDD) region 430 is formed in the N-type implant region 412 and below the gate spacer 422 .
  • the source/drain electrode 432 is formed between the STI 424 A and the LDD region 430
  • the source/drain electrode 434 is formed between the STIs 426 A and 428 A.
  • the LDMOS structure with DTI is the semiconductor structure 400 in the FIG. 7 .
  • the structure without DTI is similar to the semiconductor structure 400 except that no DTIs are included.
  • the breakdown voltage of the LDMOS with DTI is greater than that of the LDMOS without DTI
  • the drain-source on-state resistance (Rdson) of the LDMOS with DTI is greater than that of the LDMOS without DTI.
  • the current path from the source/drain electrode 434 to the source/drain electrode 432 is lengthened, such that the drain-source on-state resistance increases accordingly.
  • the width of the LDMOS with DTI is narrowed from 2.3 ⁇ m to 1.5 ⁇ m, the breakdown voltage decreases from 59.5 V to 55.8 V, which is still greater than that of the LDMOS without DTI, and the power consumption of the LDMOS with DTI decreases from 28.5 to 24.8, which becomes lower than that of the LDMOS without DTI.
  • the DTI helps increase the breakdown voltage the LDMOS and narrow the STI width of the LDMOS, thereby saving the size of the LDMOS.
  • FIG. 8 is a flow chart of a method 500 for fabricating a semiconductor device in accordance with some embodiments.
  • the method 500 begins at operation 502 , where a semiconductor substrate 302 , a STI 304 and a DTI 306 are provided, and a well region 308 is formed on the semiconductor substrate 302 .
  • the semiconductor substrate 302 may be a P-type or N-type semiconductor substrate.
  • the well region 308 has a first conductive type, which may be P-type or N-type, for example.
  • the well region 308 may be formed by a process such as ion implantation process, diffusion process, or the like.
  • the DTI 306 is located in the well region 308 .
  • an active region 310 is formed on the well region 308 .
  • the active region 310 may be formed by a process such as ion implantation process, diffusion process, or another suitable process.
  • the active region 310 has a conductive type is different from the first conductive type of the well region 308 .
  • the conductive type of the active region 310 is P-type if the first conductive type is N-type.
  • a well region 314 of a second conductive type is formed on the semiconductor substrate 302 and laterally adjacent to the well region 308 .
  • a photoresist 312 may be formed on the active region 310 for protecting the active region 310 from being damaged by the subsequent processes.
  • an ion implantation process is performed to form the well region 314 .
  • the second conductive type of the well region 314 is the same as the conductive type of the active region 310 , and is different from the first conductive type of the well region 308 .
  • the second conductive type of the well region 314 and the conductive type of the active region 310 are P-type
  • the first conductive type of the well region 308 is N-type. As shown in FIG.
  • the DTI 306 is located in the well region 308 and near to the boundary between the well regions 308 and 314 . In other words, the DTI 306 is located between the well region 314 and a majority of the well region 308 .
  • the DTI 306 is located at the boundary between the well regions 308 and 314 .
  • the DTI 306 may be located in the well region 314 and near to the boundary between the well regions 308 and 314 .
  • the present disclosure discloses another method of forming a semiconductor structure.
  • a semiconductor substrate is provided.
  • a shallow trench is formed by etching the semiconductor substrate.
  • a protective layer is formed covering the shallow trench.
  • a first etching process is performed to the protective layer until at least a portion of a bottom surface of the shallow trench is exposed by the protective layer.
  • a second etching process is performed on the portion of the bottom surface of the shallow trench, thereby forming at least one deep trench below the bottom surface of the shallow trench.
  • the protective layer remained on the semiconductor substrate and in the shallow trench is removed.
  • An isolation oxide is filled into the deep trench and the shallow trench to form at least one DTI and a STI respectively.
  • a first well region of a first conductive type is formed on the semiconductor substrate.
  • An active region is formed on the first well region.
  • a second well region of a second conductive type is formed on the semiconductor substrate and adjacent to the first well region.
  • the second conductive type is different from the first conductive type, and second conductive type is the same a conductive type of the active region.
  • the first well region and the second well region are formed such that the DTI is disposed between at least a portion of the first well region and at least a portion of the second well region.
  • the present disclosure discloses a semiconductor structure.
  • the semiconductor structure includes a semiconductor substrate, a first well region of a first conductive type on the semiconductor substrate, a second well region of a second conductive type on the semiconductor substrate, an active region on the second well region, a STI between the first well region and the second well region, and at least one DTI below the STI in the semiconductor substrate.
  • the second well region is adjacent to the first well region.
  • the second conductive type is different from the first conductive type.
  • a conductive type of the active region is the same as the second conductive type of the second well region.
  • the DTI is disposed between at least a portion of the first well region and at least a portion of the second well region.
  • the present disclosure discloses a semiconductor structure.
  • the semiconductor structure includes a semiconductor substrate, a first implant region of a first conductive type on the semiconductor substrate, a second implant region of a second conductive type on the semiconductor substrate, a first source/drain electrode in the first implant region, a second source/drain electrode in the second implant region, a gate electrode on the semiconductor substrate and between the first source/drain electrode and the second source/drain electrode, a STI between the first source/drain electrode and the second source/drain electrode, and at least one DTI below the STI in the semiconductor substrate.
  • the second conductive type is different from the first conductive type.
  • the DTI is disposed between at least a portion of the first implant region and at least a portion of the second implant region.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
US14/742,550 2015-06-17 2015-06-17 Semiconductor structure with junction leakage reduction Abandoned US20160372360A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US14/742,550 US20160372360A1 (en) 2015-06-17 2015-06-17 Semiconductor structure with junction leakage reduction
DE102015110584.5A DE102015110584B4 (de) 2015-06-17 2015-07-01 Halbleiterstruktur mit Reduzierung des Übergangskriechstroms und Verfahren zu deren Herstellung
KR1020150132650A KR101786202B1 (ko) 2015-06-17 2015-09-18 접합 누설이 감소한 반도체 구조물
CN201610055864.2A CN106257633B (zh) 2015-06-17 2016-01-27 具有结泄漏减少的半导体结构
US17/694,380 US20220199459A1 (en) 2015-06-17 2022-03-14 Semiconductor structure with junction leakage reduction

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/742,550 US20160372360A1 (en) 2015-06-17 2015-06-17 Semiconductor structure with junction leakage reduction

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/694,380 Division US20220199459A1 (en) 2015-06-17 2022-03-14 Semiconductor structure with junction leakage reduction

Publications (1)

Publication Number Publication Date
US20160372360A1 true US20160372360A1 (en) 2016-12-22

Family

ID=57467216

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/742,550 Abandoned US20160372360A1 (en) 2015-06-17 2015-06-17 Semiconductor structure with junction leakage reduction
US17/694,380 Pending US20220199459A1 (en) 2015-06-17 2022-03-14 Semiconductor structure with junction leakage reduction

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/694,380 Pending US20220199459A1 (en) 2015-06-17 2022-03-14 Semiconductor structure with junction leakage reduction

Country Status (4)

Country Link
US (2) US20160372360A1 (de)
KR (1) KR101786202B1 (de)
CN (1) CN106257633B (de)
DE (1) DE102015110584B4 (de)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170345660A1 (en) * 2016-05-27 2017-11-30 Semiconductor Manufacturing International (Shanghai) Corporation Ldmos transistor, esd device, and fabrication method thereof
US10163638B2 (en) * 2016-10-11 2018-12-25 Nuvoton Technology Corporation High-voltage semiconductor device
US10505020B2 (en) * 2016-10-13 2019-12-10 Avago Technologies International Sales Pte. Limited FinFET LDMOS devices with improved reliability
CN111834284A (zh) * 2019-04-17 2020-10-27 世界先进积体电路股份有限公司 半导体装置
US11239315B2 (en) * 2020-02-03 2022-02-01 Globalfoundries U.S. Inc. Dual trench isolation structures

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10679991B2 (en) * 2018-10-12 2020-06-09 Micron Technology, Inc. Methods and apparatuses including a boundary of a well beneath an active area of a tap
CN111276532A (zh) * 2020-03-17 2020-06-12 合肥晶合集成电路有限公司 一种半导体器件及其制备方法
US11990507B2 (en) * 2021-08-16 2024-05-21 United Microelectronics Corp. High voltage transistor structure

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4472240A (en) * 1981-08-21 1984-09-18 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing semiconductor device
US4578128A (en) * 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
US4808550A (en) * 1985-09-17 1989-02-28 Fujitsu Limited Method of producing isolation groove structure
US5015594A (en) * 1988-10-24 1991-05-14 International Business Machines Corporation Process of making BiCMOS devices having closely spaced device regions
US6140156A (en) * 1999-07-13 2000-10-31 United Microelectronics Corp. Fabrication method of isolation structure photodiode
US6204131B1 (en) * 1997-12-30 2001-03-20 Anam Semiconductor, Inc. Trench structure for isolating semiconductor elements and method for forming the same
US6242294B1 (en) * 1997-12-19 2001-06-05 Fujitsu Limited Method for fabricating a semiconductor device
US20030146468A1 (en) * 2000-04-10 2003-08-07 Stmicroelectronics S.A Bipolar transistor manufacturing method
US20030207525A1 (en) * 2001-07-13 2003-11-06 Trivedi Jigish D. Dual depth trench isolation
US20040012068A1 (en) * 2000-10-23 2004-01-22 Hiroshi Iwata Semiconductor device and its production method
US6984868B2 (en) * 2001-01-19 2006-01-10 Renesas Technology Corp. Semiconductor device having a structure for isolating elements
US20070194402A1 (en) * 2006-02-21 2007-08-23 Micron Technology, Inc. Shallow trench isolation structure
US20080057671A1 (en) * 2006-01-26 2008-03-06 International Business Machines Corporation Semiconductor structures for latch-up suppression and methods of forming such semiconductor structures
US20090160009A1 (en) * 2005-09-29 2009-06-25 Atmel Germany Gmbh Semiconductor array and method for manufacturing a semiconductor array
KR101002551B1 (ko) * 2004-12-20 2010-12-17 주식회사 하이닉스반도체 기생 필드 트랜지스터에 의한 누설 전류를 감소시킬 수있는 반도체 소자
US20110260294A1 (en) * 2010-04-21 2011-10-27 Bo-Seok Oh Semiconductor device and method for fabricating the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6207532B1 (en) * 1999-09-30 2001-03-27 Taiwan Semiconductor Manufacturing Company STI process for improving isolation for deep sub-micron application
KR20010045231A (ko) * 1999-11-03 2001-06-05 박종섭 씨모스 소자의 구조 및 그의 제조 방법
KR100377130B1 (ko) * 2000-11-22 2003-03-19 페어차일드코리아반도체 주식회사 반도체 소자 및 그 제조 방법
DE10345346B4 (de) 2003-09-19 2010-09-16 Atmel Automotive Gmbh Verfahren zur Herstellung eines Halbleiterbauelements mit aktiven Bereichen, die durch Isolationsstrukturen voneinander getrennt sind
US7427795B2 (en) * 2004-06-30 2008-09-23 Texas Instruments Incorporated Drain-extended MOS transistors and methods for making the same
JP4947931B2 (ja) * 2004-08-12 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置
KR100702775B1 (ko) 2005-05-03 2007-04-03 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7372104B2 (en) * 2005-12-12 2008-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage CMOS devices
KR100922989B1 (ko) * 2007-04-25 2009-10-22 주식회사 하이닉스반도체 플래시 메모리 소자 및 그것의 제조방법
US7898027B2 (en) * 2007-07-16 2011-03-01 United Microelectronics Corp. Metal-oxide-semiconductor device
US7723178B2 (en) * 2008-07-18 2010-05-25 International Business Machines Corporation Shallow and deep trench isolation structures in semiconductor integrated circuits
US8685831B2 (en) * 2010-10-28 2014-04-01 Texas Instruments Incorporated Trenches with reduced silicon loss

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4472240A (en) * 1981-08-21 1984-09-18 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing semiconductor device
US4578128A (en) * 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
US4808550A (en) * 1985-09-17 1989-02-28 Fujitsu Limited Method of producing isolation groove structure
US5015594A (en) * 1988-10-24 1991-05-14 International Business Machines Corporation Process of making BiCMOS devices having closely spaced device regions
US6242294B1 (en) * 1997-12-19 2001-06-05 Fujitsu Limited Method for fabricating a semiconductor device
US6204131B1 (en) * 1997-12-30 2001-03-20 Anam Semiconductor, Inc. Trench structure for isolating semiconductor elements and method for forming the same
US6140156A (en) * 1999-07-13 2000-10-31 United Microelectronics Corp. Fabrication method of isolation structure photodiode
US20030146468A1 (en) * 2000-04-10 2003-08-07 Stmicroelectronics S.A Bipolar transistor manufacturing method
US20040012068A1 (en) * 2000-10-23 2004-01-22 Hiroshi Iwata Semiconductor device and its production method
US6984868B2 (en) * 2001-01-19 2006-01-10 Renesas Technology Corp. Semiconductor device having a structure for isolating elements
US20030207525A1 (en) * 2001-07-13 2003-11-06 Trivedi Jigish D. Dual depth trench isolation
KR101002551B1 (ko) * 2004-12-20 2010-12-17 주식회사 하이닉스반도체 기생 필드 트랜지스터에 의한 누설 전류를 감소시킬 수있는 반도체 소자
US20090160009A1 (en) * 2005-09-29 2009-06-25 Atmel Germany Gmbh Semiconductor array and method for manufacturing a semiconductor array
US20080057671A1 (en) * 2006-01-26 2008-03-06 International Business Machines Corporation Semiconductor structures for latch-up suppression and methods of forming such semiconductor structures
US20070194402A1 (en) * 2006-02-21 2007-08-23 Micron Technology, Inc. Shallow trench isolation structure
US20110260294A1 (en) * 2010-04-21 2011-10-27 Bo-Seok Oh Semiconductor device and method for fabricating the same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170345660A1 (en) * 2016-05-27 2017-11-30 Semiconductor Manufacturing International (Shanghai) Corporation Ldmos transistor, esd device, and fabrication method thereof
US10395931B2 (en) * 2016-05-27 2019-08-27 Semiconductor Manufacturing International (Shanghai) Corporation LDMOS transistor, ESD device, and fabrication method thereof
US10854456B2 (en) * 2016-05-27 2020-12-01 Semiconductor Manufacturing International (Shanghai) Corporation Methods for fabricating transistor and ESD device
US10163638B2 (en) * 2016-10-11 2018-12-25 Nuvoton Technology Corporation High-voltage semiconductor device
US10505020B2 (en) * 2016-10-13 2019-12-10 Avago Technologies International Sales Pte. Limited FinFET LDMOS devices with improved reliability
CN111834284A (zh) * 2019-04-17 2020-10-27 世界先进积体电路股份有限公司 半导体装置
US11239315B2 (en) * 2020-02-03 2022-02-01 Globalfoundries U.S. Inc. Dual trench isolation structures

Also Published As

Publication number Publication date
DE102015110584A1 (de) 2016-12-22
KR101786202B1 (ko) 2017-10-17
CN106257633B (zh) 2019-08-02
CN106257633A (zh) 2016-12-28
KR20160149125A (ko) 2016-12-27
US20220199459A1 (en) 2022-06-23
DE102015110584B4 (de) 2023-11-16

Similar Documents

Publication Publication Date Title
US20220199459A1 (en) Semiconductor structure with junction leakage reduction
US9559091B2 (en) Method of manufacturing fin diode structure
TWI556441B (zh) 場效電晶體結構及其製造方法
US9985111B2 (en) Structure and method for a field effect transistor
KR102202818B1 (ko) 킹크 효과를 감소시키기 위한 트랜지스터 레이아웃
US10804260B2 (en) Semiconductor structure with doped layers on fins and fabrication method thereof
US10319827B2 (en) High voltage transistor using buried insulating layer as gate dielectric
US7396775B2 (en) Method for manufacturing semiconductor device
CN106816464B (zh) 半导体装置的制造方法
US20140264720A1 (en) Method and Structure for Nitrogen-Doped Shallow-Trench Isolation Dielectric
TWI532181B (zh) 凹入式通道存取電晶體元件及其製作方法
KR100909763B1 (ko) 반도체 소자의 형성 방법
KR20110059007A (ko) 반도체 소자 및 그의 형성 방법
KR100720258B1 (ko) 반도체 소자의 형성 방법
KR100848242B1 (ko) 반도체 소자 및 반도체 소자의 제조 방법
CN105845569B (zh) 鳍式场效应晶体管及其形成方法
TWI455246B (zh) 隔離區的形成方法及其結構
JP2016219708A (ja) 半導体装置
KR20050059749A (ko) 반도체 소자의 제조방법
KR20100030493A (ko) 반도체 소자의 제조 방법
KR20090031033A (ko) 반도체 소자의 제조방법
KR20060113281A (ko) 반도체소자의 제조방법
KR20090020789A (ko) 반도체 소자의 트랜지스터 및 그 제조 방법
KR20060135226A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FANG, CHUN-CHIEH;HUANG, CHIEN-CHANG;WEN, CHI-YUAN;AND OTHERS;SIGNING DATES FROM 20150821 TO 20150825;REEL/FRAME:036452/0813

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FANG, CHUN-CHIEH;HUANG, CHIEN-CHANG;WEN, CHI-YUAN;AND OTHERS;SIGNING DATES FROM 20150821 TO 20150825;REEL/FRAME:036513/0201

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION