TWI718726B - 半導體元件製造方法及半導體元件 - Google Patents

半導體元件製造方法及半導體元件 Download PDF

Info

Publication number
TWI718726B
TWI718726B TW108138722A TW108138722A TWI718726B TW I718726 B TWI718726 B TW I718726B TW 108138722 A TW108138722 A TW 108138722A TW 108138722 A TW108138722 A TW 108138722A TW I718726 B TWI718726 B TW I718726B
Authority
TW
Taiwan
Prior art keywords
layer
protective layer
gate dielectric
protective
forming
Prior art date
Application number
TW108138722A
Other languages
English (en)
Other versions
TW202036686A (zh
Inventor
錢德拉謝卡爾P 薩萬特
張景舜
余典衞
蔡家銘
陳明德
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202036686A publication Critical patent/TW202036686A/zh
Application granted granted Critical
Publication of TWI718726B publication Critical patent/TWI718726B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

在一種製造半導體元件的方法中,閘極介電層形成於通道區上方,第一導電層形成於閘極介電層上方,防護層形成於第一導電層上方而形成雙層結構,覆蓋層形成於防護層上方,在形成覆蓋層之後執行第一退火操作,在第一退火操作之後去除覆蓋層,以及在去除覆蓋層之後形成閘電極層。

Description

半導體元件製造方法及半導體元件
本揭露係有關於一種半導體元件及其製造方法。
隨著積體電路的尺度縮小及對積體電路的速度的要求愈來愈高,電晶體需要隨著日益減小的尺寸具有更高的驅動電流。因而開發了鰭式場效電晶體(Fin Field-Effect Transistors;FinFET)。FinFET包括基板上方的垂直半導體鰭狀物。半導體鰭狀物用於形成源極及汲極區域,以及在源極區與汲極區之間的通道區。形成淺溝槽隔離(Shallow Trench Isolation;STI)區域以界定半導體鰭狀物。FinFET亦包括閘極堆疊,其形成於半導體鰭狀物的側壁及頂表面上。因為FinFET具有三維通道結構,到通道的離子注入製程需要額外謹慎以減少任何幾何效應。
根據本揭露的一些實施例,一種製造半導體元件的方法包括以下步驟:在通道區上方形成介面層;在介面層上方形成閘極介電層;在閘極介電層上方形成第一導電層;在第一導電層上方形成防護層;在防護層上方形成覆蓋層;在形成覆蓋層之後執行第一退火操作;在第一退火操作之後去除覆蓋層;以及在去除覆蓋層之後在閘極介電層上方形成閘電極層。
根據本揭露的一些實施例,一種製造半導體元件的方法包括以下步驟:在通道區上方形成閘極介電層;在閘極介電層上方形成第一導電層;在第一導電層上方形成防護層;在形成防護層之後執行第一退火操作;執行氟浸泡操作;在防護層上方形成覆蓋層;在形成覆蓋層之後執行第二退火操作;在第二退火操作之後去除覆蓋層;以及在去除覆蓋層之後在閘極介電層上方形成閘電極層,其中在第一退火操作之後去除防護層。
根據本揭露的一些實施例,一種半導體元件包括通道層、閘極介電層、金屬氮化物層、防護層以及閘電極層。閘極介電層設置於通道層上方。金屬氮化物層設置於閘極介電層上方。防護層設置於金屬氮化物層上方。閘電極層包括設置於防護層上方的一或多個功函數調整層及一或多個主體金屬閘電極層。金屬氮化物層由TiN組成。防護層由選自一群組的一者組成。群組由以下各者組成:SixNy(其中0.3
Figure 108138722-A0305-02-0004-1
x<0.75,0.25
Figure 108138722-A0305-02-0004-2
y
Figure 108138722-A0305-02-0004-3
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0004-5
x<0.99,0.01
Figure 108138722-A0305-02-0004-6
y
Figure 108138722-A0305-02-0004-7
0.1,及x+y=1)、TixCly (其中0.9
Figure 108138722-A0305-02-0005-8
x<0.99,0.01
Figure 108138722-A0305-02-0005-9
y
Figure 108138722-A0305-02-0005-10
0.1,及x+y=1)、矽化鈦、TixSiy(其中0.25
Figure 108138722-A0305-02-0005-11
x<0.99,0.01
Figure 108138722-A0305-02-0005-12
y
Figure 108138722-A0305-02-0005-13
0.75,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0005-14
x<0.99,0.01
Figure 108138722-A0305-02-0005-15
y
Figure 108138722-A0305-02-0005-16
0.7,及x+y=1),及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0005-17
x<0.75,0.01
Figure 108138722-A0305-02-0005-18
y
Figure 108138722-A0305-02-0005-19
0.99,0.01
Figure 108138722-A0305-02-0005-20
z
Figure 108138722-A0305-02-0005-21
0.7,及x+y+z=1)。
10:基板
20:鰭式結構
30:隔離絕緣層
40:虛設閘極結構
42:虛設閘極介電層
44:虛設閘電極層
46:閘極側壁間隔物
47:閘極間隙
50:層
80:閘極堆疊
81:介面層
82:閘極介電層
83:第一導電層
84:防護層
86:第二導電層
87:功函數調整層
88:閘電極層
當結合附圖閱讀時,根據以下詳細描述可更好地理解本揭露。應強調,根據工業標準實踐,各種特徵未按比例繪製並且僅用作說明目的。事實上,為論述清楚,各特徵的尺寸可任意地增加或縮小。
第1A圖圖示根據本揭露的實施例的半導體元件的橫截面視圖。
第1B圖圖示根據本揭露的實施例的製造半導體元件的通用製程流程。
第2A圖、第2B圖、第2C圖及第2D圖圖示根據本揭露的實施例的半導體元件的連續製造製程的不同階段的橫截面視圖。
第3A圖、第3B圖、第3C圖、第3D圖、第3E圖及第3F圖圖示根據本揭露的實施例的半導體元件的連續製造製程的不同階段的橫截面視圖。第3G圖圖示根據本揭露的實施例的製造半導體元件的製程流程。
第4A圖、第4B圖、第4C圖、第4D圖、第4E圖、第4F圖、第4G圖及第4H圖圖示ALD操作的各個氣體供應時間。
第5圖圖示根據本揭露的實施例的半導體元件的橫截面視圖。
第6A圖、第6B圖、第6C圖、第6D圖、第6E圖及第6F圖圖示根據本揭露的實施例的半導體元件的連續製造製程的不同階段的橫截面視圖。第6G圖圖示根據本揭露的實施例的製造半導體元件的製程流程。
第7圖圖示根據本揭露的實施例的半導體元件的橫截面視圖。
第8A圖、第8B圖、第8C圖、第8D圖、第8E圖及第8F圖圖示根據本揭露的實施例的半導體元件的連續製造製程的不同階段的橫截面視圖。第8G圖圖示根據本揭露的實施例的製造半導體元件的製程流程。
第9圖圖示根據本揭露的實施例的半導體元件的橫截面視圖。
第10A圖、第10B圖、第10C圖、第10D圖、第10E圖及第10F圖圖示根據本揭露的實施例的半導體元件的連續製造製程的不同階段的橫截面視圖。第10G圖圖示根據本揭露的實施例的製造半導體元件的製程流程。
第11A圖、第11B圖、第11C圖及第11D圖圖示沿閘極結構的深度方向的元素分析結果。
第11E圖圖示根據本揭露的實施例的結構的第一導電層的鈦2p3/2軌道(Ti2p3/2)峰的XPS(X射線光電子光譜學)光譜。
應當理解,以下揭示內容提供許多不同實施例或實例,以便實施本揭露的不同特徵。下文描述組件及排列的特定實施例或實例以簡化本揭露。當然,此些實例僅為實例且不意欲為限制性。例如,元件的尺寸不限於本揭露的範圍或數值,但可取決於元件的處理條件及/或要求性質。此外,在隨後描述中在第二特徵上方或在第二特徵上第一特徵的形成可包括第一及第二特徵形成為直接接觸的實施例,以及亦可包括額外特徵可形成在第一及第二特徵之間,使得第一及第二特徵可不直接接觸的實施例。為簡單及清晰起見,不同特徵可能任意地以不同的比例繪製出。在隨附圖中,為簡化起見可忽略一些層/特徵。
另外,空間相對用語,諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者,在此為便於描述可用於描述諸圖中所圖示一個元件或特徵與另一(些)元件或(多個)特徵的關係。除圖形中描繪的方向外,空間相對用語意圖是包含元件在使用或操作中的不同方向。元件可為不同朝向(旋轉90度或在其他的方向)及可因此同樣地解釋在此使用的空間相對的描述詞。另外,術語「「由...組成」可意味「包括」或「由...組成」。另外,在下列製造製程中,在所述操作之間存在一或多種附加操作,並且操作之順序可變化。在本揭露中,短語「A、B及C的一者」意謂著「A、B及/或C」(A,B,C,A及B,A及C,B及C,或者A、B及C),並且並不意謂來自A的一個元件、來自B的一個元 件及來自C的一個元件,除非描述為另外情況。在整個揭示案中,源極及汲極可互換使用,及源極/汲極指源極及汲極中的一者或兩者。
所揭示的實施例係關於一種半導體元件,尤其關於鰭式場效電晶體(FinFET)及其製造方法。諸如本揭露揭示的彼等的實施例大體不僅適用於FinFET而且適用於雙閘極FET、圍繞閘極FET、omega-閘極FET或全捲繞閘極(gate-all-around;GAA)電晶體及/或奈米線電晶體,或任意具有三維通道結構的適當元件。
在FinFET結構中,構造具有低Vt的多個Vt元件對低功耗及增強元件效能非常重要。金屬閘極膜的組成及厚度在界定元件功函數,Vt中起到決定作用。氟(F)摻入矽帽(氟化矽帽(FSI))有助於PMOS增強和元件可靠性增益。然而,FSI的形成與TiN膜不相容,因為氟導致蝕刻及TiN損失。半導體元件包括源極及汲極及在此兩者之間的閘極堆疊。閘極堆疊包括基板上方的閘極介電層、閘極介電層上方的介電覆蓋層(例如,氮化鈦(TiN))、介電覆蓋層上方的阻障層(例如,TaN或類似金屬氮化物)、及阻障層上方的閘電極層。閘極介電層包括介面層(interfacial layer;IL)及高介電常數介電層(high-k dielectric layer;HK)。閘電極包括金屬閘極功函數層及主體金屬層。
在製造半導體元件期間,高介電常數覆蓋膜,即單層金屬氮化物膜(例如,TiN或TSN(TiSiN))沉積於HK膜上,及隨後Si覆蓋層沉積於此高介電常數覆蓋膜上,隨後 進行退火、去除Si帽、及阻障層(例如,TaN)及閘電極沉積於此高介電常數覆蓋膜上方。Si沉積製程可為非晶矽沉積或氟化矽沉積(FSI),即F基Si,其包括F基氣體浸泡(例如,F2、CF4等等)及之後的Si沉積。
金屬氮化物(像TiN)的覆蓋層更優於TiSiN(TSN)膜,因為相比於其他金屬氮化物膜(諸如廣泛使用的TiN覆蓋膜),TiSiN具有Vt影響問題。在使用氟化矽帽膜時,F在退火期間擴散進覆蓋膜及閘極介電質中,其有助於增強PMOS Vt及平衡NMOS及PMOS Vt。F摻入Si(FSI)的使用可有助於增強PMOS Vt,但其與TiN高介電常數覆蓋膜不相容,因為富F氣體損壞覆蓋膜。因此,需要更強健的覆蓋膜方案來保護/防護TiN膜免於F損害、氧化損害並增強PMOS Vt及元件效能。
本揭露涉及薄保護性防護層的使用,此防護層用於形成TiN的雙層覆蓋方案以致使氟化矽帽提高PMOS Vt、元件可靠性及元件效能。如將在下文論述,本揭露提供一種元件及方法,其可保護介電覆蓋膜及閘極介電質免於F2浸泡製程的損害而形成氟化矽帽膜,保護介電覆蓋膜免於自然氧化、防止閘電極的金屬擴散進閘極介電質中、增強元件效能及速度、降低漏電流、充當除氧劑以減少閘極介電層上的介面層再生長(interfacial layer regrowth;ILRG)、及減少閘極堆疊的厚度。
第1A圖圖示根據本揭露的實施例的半導體元件的橫截面視圖。
在一些實施例中,半導體元件包括設置於鰭式結構20的通道區上方的閘極堆疊80。閘極堆疊80包括介面層81、閘極介電層82、第一導電層83、防護層84、作為阻障層的第二導電層86、功函數調整層87及閘電極層88,如第1A圖圖示。在一些實施例中,鰭式結構20提供在基板10上方並從隔離絕緣層30突出。此外,閘極側壁間隔物46設置於閘極堆疊80的相對側面上及形成一或多個介電層50以覆蓋閘極側壁間隔物46。在一些實施例中,絕緣材料片(虛設閘極介電層42)設置於閘極側壁間隔物46與隔離絕緣層30之間。在一些實施例中,第一導電層83包括金屬氮化物,諸如WN、TaN及TiN。在一些實施例中,使用TiN。第一導電層83的厚度範圍在一些實施例中為約0.3nm至約30nm,以及在其他實施例中為約0.5nm至約25nm。在一些實施例中,第一導電層83為具有例如柱狀晶體顆粒的晶體。
在一些實施例中,防護層84為以下各者中的一者:氮化矽SixNy(其中0.3
Figure 108138722-A0305-02-0010-22
x<0.75,0.25
Figure 108138722-A0305-02-0010-23
y
Figure 108138722-A0305-02-0010-24
0.7,及x+y=1)、Ti、TixCy、TixCly(其中0.9
Figure 108138722-A0305-02-0010-25
x<0.99,0.01
Figure 108138722-A0305-02-0010-26
y
Figure 108138722-A0305-02-0010-27
0.1,及x+y=1)、矽化鈦(例如,TiSi、TiSi2、Ti3Si、Ti5Si3、Ti5Si4等)、TixSiy(其中0.25
Figure 108138722-A0305-02-0010-28
x<0.99,0.01
Figure 108138722-A0305-02-0010-29
y
Figure 108138722-A0305-02-0010-30
0.75,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0010-31
x<0.99,0.01
Figure 108138722-A0305-02-0010-32
y
Figure 108138722-A0305-02-0010-33
0.7,及x+y=1),SixTiyNz(其中0.01
Figure 108138722-A0305-02-0010-34
x<0.75,0.01
Figure 108138722-A0305-02-0010-35
y
Figure 108138722-A0305-02-0010-36
0.99,0.01
Figure 108138722-A0305-02-0010-37
z
Figure 108138722-A0305-02-0010-38
0.7,及x+y+z=1)。在一些實施例中,防護層84為以下各者中的一者:Si、SixCy、SixCly(其中0.9
Figure 108138722-A0305-02-0010-39
x<0.99,0.01
Figure 108138722-A0305-02-0010-40
y
Figure 108138722-A0305-02-0010-41
0.1,及x+y=1)、SixNy(其 中0.3
Figure 108138722-A0305-02-0011-42
x<0.99,0.01
Figure 108138722-A0305-02-0011-43
y
Figure 108138722-A0305-02-0011-44
0.7,及x+y=1)、Ti、TixCy、TixCly(其中0.9
Figure 108138722-A0305-02-0011-45
x<0.99,0.01
Figure 108138722-A0305-02-0011-46
y
Figure 108138722-A0305-02-0011-47
0.1,及x+y=1)、矽化鈦(例如,TiSi、TiSi2、Ti3Si、Ti5Si3、Ti5Si4等)、TixSiy(其中0.01
Figure 108138722-A0305-02-0011-48
x<0.99,0.01
Figure 108138722-A0305-02-0011-49
y
Figure 108138722-A0305-02-0011-50
0.99,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0011-51
x<0.99,0.01
Figure 108138722-A0305-02-0011-52
y
Figure 108138722-A0305-02-0011-53
0.7,及x+y=1),SixTiyNz(其中0.01
Figure 108138722-A0305-02-0011-54
x<0.99,0.01
Figure 108138722-A0305-02-0011-55
y
Figure 108138722-A0305-02-0011-56
0.99,0.01
Figure 108138722-A0305-02-0011-57
z
Figure 108138722-A0305-02-0011-58
0.7,及x+y+z=1)。
在一些實施例中,防護層84為矽化鈦(例如、TiSi、TiSi2、Ti3Si、Ti5Si3、Ti5Si4等),即TixSiy,其中0.25
Figure 108138722-A0305-02-0011-59
x<0.99、0.01
Figure 108138722-A0305-02-0011-60
y
Figure 108138722-A0305-02-0011-61
0.75、及x+y=1。在其中防護層84存在於最終結構的一些實施例中,y不大於0.75及x不小於0.25,因為保留在最終元件中的此種高Si含量可能退化功函數、元件閾電壓Vt及/或閘極電阻。在一些實施例中,防護層84為矽化鈦(例如、TiSi、TiSi2、Ti3Si、Ti5Si3、Ti5Si4等),即TixSiy,其中0.01
Figure 108138722-A0305-02-0011-62
x<0.99、0.01
Figure 108138722-A0305-02-0011-64
y
Figure 108138722-A0305-02-0011-65
0.99、及x+y=1。在其中防護層84被去除且不保留在最終結構中的一些實施例中,y可大於0.75且高達0.99,在此情況下防護層為富含Si的矽化鈦或具有少量鈦的純Si膜。
在其中防護層84不存在最終結構的一些實施例中,防護層84由以下各者中的一者組成:純Si、SixCy、SixCly(其中0.9
Figure 108138722-A0305-02-0011-66
x<0.99、0.01
Figure 108138722-A0305-02-0011-67
y
Figure 108138722-A0305-02-0011-68
0.1、及x+y=1)。在其中防護層84存在於最終結構的一些實施例中,防護層84可能不由純Si、SixCy、SixCly中的一者組成,因為保留 在最終元件中的此種高Si含量可能退化功函數、元件閾電壓Vt及/或閘極電阻。
在一些實施例中,防護層84為氮化矽,即SixNy,其中0.3
Figure 108138722-A0305-02-0012-69
x<0.75、0.25
Figure 108138722-A0305-02-0012-70
y
Figure 108138722-A0305-02-0012-71
0.7、及x+y=1。在其中防護層84存在於最終結構的一些實施例中,x不大於0.75,因為保留在最終元件中的此種高Si含量可能退化功函數、元件閾電壓Vt及/或閘極電阻。在一些實施例中,y的上限歸因於製程限制。在一些實施例中,防護層84為氮化矽,即SixNy,其中0.3
Figure 108138722-A0305-02-0012-72
x<0.99、0.01
Figure 108138722-A0305-02-0012-73
y
Figure 108138722-A0305-02-0012-74
0.7、及x+y=1。在其中防護層84被去除且不保留在最終結構中的一些實施例中,x可大於0.75,在此情況下防護層為富含Si的氮化矽或具有少量氮的純Si膜。在一些實施例中,y的上限歸因於製程限制。
在一些實施例中,防護層84為TixNy,其中0.3
Figure 108138722-A0305-02-0012-75
x<0.99、0.01
Figure 108138722-A0305-02-0012-76
y
Figure 108138722-A0305-02-0012-77
0.7、及x+y=1。在此情況下,防護層充當犧牲層以保護底下第一導電層83。在一些實施例中,x不小於0.3且y不大於0.7。在一些實施例中,y的上限歸因於製程限制。
在一些實施例中,防護層84為純Ti或TixCy或TixCly中的一者,其中0.9
Figure 108138722-A0305-02-0012-78
x<0.99、0.01
Figure 108138722-A0305-02-0012-79
y
Figure 108138722-A0305-02-0012-80
0.1、及x+y=1。在此情況下,防護層充當犧牲層以保護底下第一導電層83。在一些實施例中,x大於0.9且y不大於0.1。y的上限用於避免最終結構中過多C、Cl雜質以減小閘極電阻及介 電缺陷。TixCy或TixCly膜中的C、Cl雜質,即y的值,可藉由在膜沉積之後執行氫氣浸泡而減小。
在一些實施例中,防護層84為SixTiyNz,其中0.01
Figure 108138722-A0305-02-0013-81
x<0.75、0.01
Figure 108138722-A0305-02-0013-82
y
Figure 108138722-A0305-02-0013-83
0.99、0.01
Figure 108138722-A0305-02-0013-84
z
Figure 108138722-A0305-02-0013-85
0.7、及x+y+z=1。在其中防護層84存在於最終結構中的一些實施例中,x不大於0.75,因為保留在最終元件中的此種高Si含量可能退化功函數、元件閾電壓Vt及/或閘極電阻。在一些實施例中,y的上限歸因於製程限制。在一些實施例中,防護層84為SixTiyNz,其中0.01
Figure 108138722-A0305-02-0013-86
x<0.99、0.01
Figure 108138722-A0305-02-0013-88
y
Figure 108138722-A0305-02-0013-90
0.99、0.01
Figure 108138722-A0305-02-0013-91
z
Figure 108138722-A0305-02-0013-92
0.7、及x+y+z=1。在其中防護層84被去除且不保留在最終結構中的一些實施例中,x可大於0.75且高達0.99,在此情況下防護層為富含Si膜,諸如純Si或具有少量鈦、氮的氮化矽或矽化鈦膜。在一些實施例中,x為0,在此情況下防護層84為純Ti或TiN,充當犧牲層以保護底下第一導電層83。在一些實施例中,y為0,其中防護層84為純Si或者氮化矽。在一些實施例中,y為1(換言之,防護層84為純Ti或具有極微量Si及/或N的Ti)。在一些實施例中,z為0,在此情況下防護層84為矽化鈦(例如,TiSi、TiSi2、Ti3Si、Ti5Si3、Ti5Si4等)。在一些實施例中,z的上限歸因於製程限制。
關於防護層84的性質,富矽膜及/或矽化鈦膜可提供更多保護以防止對底層的氧化及/或氟損壞。此外,當防護層為富矽非晶膜時,由於沒有晶界擴散路徑,防護層84更有效地抑制Al擴散。另一方面,富矽膜可產生高Vt移動 問題。富Ti膜(例如,低Si膜)可產生較少的Vt影響,但提供對底層的較少保護。在防護層84沉積期間及/或退火操作期間,來自氧化的第一導電層的氧(O)可擴散進防護層84中並且形成富O防護層(即,SiON、SiOx、SiTiNOx)及缺O的第一導電層。富Si防護層提供來自第一導電層的氧的更有效的淨化及更有效的介面層再生長控制。
防護層84的厚度小於第一導電層83的厚度,且在一些實施例中防護層84的厚度範圍在約0.1nm至約30nm之間。在其他的實施例中,防護層84的厚度範圍為約0.5nm至約15nm。在一些實施例中,第一導電層83的厚度T1及防護層84的厚度T2滿足0.05
Figure 108138722-A0305-02-0014-93
T2/(T1+T2)<0.85。具有低於約0.5nm或滿足T2/(T1+T2)<0.05的厚度的防護層可能對第一導電層83抗氧化及/或F損害不提供充足的保護,可能不減小介面層再生長(ILRG),並且可能也不防止功函數調整層87及/或閘電極層88的金屬擴散進閘極介電層82中。在一些實施例中,T2/(T1+T2)不大於0.85,否則其將退化功函數、元件的電阻、元件閾電壓(Vt)及/或元件速度效能。
在一些實施例中,防護層84、第一導電層83、閘極介電層82、介電層50及/或閘極側壁間隔物46包含氟。閘極介電層82中的氟可減少缺陷,諸如閘極介電層82中的空位及懸空鍵,並改善元件洩漏問題及可靠性。在閘極側壁間隔物中包含氟有助於阻止Al從金屬閘極層擴散至源極/汲極區。然而,當氟含量過高時,氟可能對第一導電層83及閘 極介電層82造成損害,並且亦退化NMOS元件的Vt(增加NMOS Vt)。
防護層84中的氟濃度範圍在一些實施例中為約0.02原子%至約75原子%,及在其他實施例中為約1原子%至約25原子%。第一導電層83中的氟濃度範圍在一些實施例中為約0.02原子%至約55原子%,及在其他實施例中為約1原子%至約25原子%。當防護層84及第一導電層83中包括高含量氟時,此些層可提供更高效的功函數,其有助於減小PMOS元件的Vt。然而,防護層82包含超過約75原子%的氟通常是可行的,因為氟擴散進第一導電層及閘極介電層中。當第一導電層83中的氟含量大於約55原子%時,由於揮發性金屬氟化物(諸如TiFx及WFx)的形成,其可造成第一導電層的損失。
閘極介電層82中的氟濃度範圍在一些實施例中為約0.01原子%至約40原子%,及在其他實施例中為約0.5原子%至約10原子%。當閘極介電層82中的氟含量超過約40原子%時,由於例如HfFx的形成,其可對閘極介電層82造成損害並減小其有效介電常數。
在一些實施例中,防護層84為部分非晶或完全非晶的。在一些實施例中,防護層84的結晶度百分比範圍為約0%(大體上完全地非晶的)至約90%。在一些實施例中,結晶度百分比取決於防護層組成及沉積溫度。在一些實施例中,結晶度百分比隨Si含量增加(即,x值增加)而減小。在一些實施例中,結晶度百分比隨著沉積溫度增加及一或多 個後續退火製程的溫度增加而增加。防護層84有助於阻止金屬(例如,Al)從功函數調整層87及/或閘電極層88擴散進閘極介電層82中,特別在防護層84為更類非晶形膜(低結晶度)時。此舉有助於藉由減少介電質中的Al缺陷來改善閘極氧化物品質,從而提高元件洩漏效能。閘極堆疊的金屬(例如,Al)擴散進閘極介電層82可能由於防護層84的非晶性質而有效地減少(在非晶結構中沒有晶粒邊界會避免晶界擴散現象)。
防護層84可在一些實施例中在氟摻入操作期間防止第一導電層83(例如,TiN層)的變薄或損失,從而允許在對第一導電層83及/或閘極介電層82不造成任何損壞的情況下使用F基氣體。第一導電層及/或閘極介電層中的氟平衡半導體元件的PMOS及NMOS的閾電壓,即降低PMOS閾電壓,其亦有助於降低介電層中的俘獲中心,諸如氧空位及/或懸空鍵,從而改善介電質品質。
在一些實施例中,防護層84亦有助於藉由將第一導電層83與大氣氧及/或濕氣隔離來保護第一導電層83免於自然氧化。在一些實施例中,防護層84有助於將第一導電層83中的氧的原子百分比從約22原子%至約90原子%(即,不使用防護層84)減少至約1.5原子%至約65原子%(即,使用防護層84)。在一些實施例中,防護層84充當除氧劑層,即防護層有助於俘獲從第一導電層83及/或從閘極介電層82在一或多個後續退火製程期間釋放的氧。此氧淨化能力減少退火期間的介面層再生長,從而減少介面層厚 度,其進而有助於增強元件速度、元件「開合」(Ion-Ioff)效能及/或環形振盪器工作頻率效能。在一些實施例中,防護層84的氧淨化能力及介面層再生長控制能力可藉由控制防護層84的組成及厚度來提高。防護層84的較高Si含量(即,SixNz、SixCy、SixCly、SixTiy、SixTiyNz中的較高x值)及較大厚度在一些實施例中提供更好的防氧化及更加增強的介面層再生長控制。
第1B圖圖示根據本揭露的實施例的製造半導體元件的通用製程流程。在第1B圖的製造流程期間可執行一或多個附加操作,及可不執行一些操作。操作順序可變化。在第1B圖的S101中,在鰭式結構的通道區上方形成介面層。在第1B圖的S103中,閘極介電層形成於介面層上方。在第1B圖的S105中,第一導電層形成於閘極介電層上方及防護層形成於第一導電層上方。在第1B圖的S107中,執行第一退火(即,金屬化後退火)。在第1B圖的S109中,形成覆蓋層。在第1B圖的S111中,執行第二退火(覆蓋後退火)。在第1B圖的S113中,在退火之後去除覆蓋層。在第1B圖的S115中,在一些實施例中去除防護層。在其他的實施例中,不去除防護層。在第1B圖的S117中,選擇性地形成附加第一導電層以補償第一導電層的損失。在第1B圖的S119中,形成第二導電層。在S212中,形成包括一或多個功函數調整層及一主體閘電極層的閘電極層。
第2A圖至第3F圖圖示根據本揭露的實施例的半導體元件的連續製造製程的不同階段的橫截面視圖。第 3G圖圖示根據本揭露的實施例的製造半導體元件的製程流程。應理解,在連續製造製程中,可以在由第2A圖至第3F圖圖示的步驟之前、期間及之後提供一或多個附加操作,並且可以替換或除去如下所述的一些操作以獲得此方法的另外實施例。操作/製程的順序可為互換的。
如第2A圖中圖示,一或多個鰭式結構20製造於基板10上方。例如,基板10為具有一雜質濃度的P型矽基板,此雜質濃度範圍為約1×1015cm-3至約1×1018cm-3。在其他實施例中,基板10為具有一雜質濃度的N型矽基板,此雜質濃度範圍為約1×1015cm-3至約1×1018cm-3。或者,基板10可包括另一元素半導體,諸如鍺;化合物半導體,包括第IV-IV族化合物半導體,諸如SiC及SiGe,第III-V族化合物半導體,諸如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;或上述組合。在一個實施例中,基板10為SOI(絕緣體上矽)基板的矽層。非晶基板,諸如非晶Si或非晶SiC,或絕緣材料(諸如氧化矽)亦可用作基板10。基板10可包括已經適當地摻有雜質(例如,P型或N型導電性)的不同區域。
鰭式結構20可藉由任何適當方法圖案化。例如,鰭式結構20可以使用包括雙圖案化或多圖案化製程的一或多個光微影製程來圖案化。通常,雙圖案化或多圖案化製程結合光微影及自對準製程,從而允許產生的圖案具有例如小於使用單個、直接的光微影製程所獲得的間距的間距。例 如,在一個實施例中,犧牲層形成於基板上方並且使用光微影製程圖案化。間隔物使用自對準製程沿所圖案化的犧牲層形成。隨後去除犧牲層,以及剩餘間隔物隨後可用以圖案化鰭式結構20。
如第2A圖圖示,在Y方向上延伸的兩個鰭式結構20在X方向彼此相鄰設置。然而,鰭式結構的數目並不限於二。數目可為一個、三個、四個或五個或更多個。另外,靠近鰭式結構20的兩側可設置一或多個虛設鰭式結構以改善圖案化製程中的圖案保真度。在一些實施例中,鰭式結構20的寬度範圍為約5nm至約40nm,及在某些實施例中為約7nm至約15nm。在一些實施例中,鰭式結構20的高度範圍為約100nm至約300nm,及在其他實施例中可為約50nm至約100nm。在一些實施例中,鰭式結構20之間的間隔範圍為約5nm至約80nm,及在其他實施例中可為約7nm至約15nm。然而,熟習本領域者可瞭解,整篇說明書中敘述的尺寸及值僅為實例,並且可經改變以適合積體電路的不同尺度。在一些實施例中,Fin FET元件為N型Fin FET。在其他的實施例中,Fin FET元件為P型Fin FET。
在形成鰭式結構20之後,在鰭式結構20上方形成隔離絕緣層30,如第2B圖圖示。
隔離絕緣層30包括藉由LPCVD(低壓化學氣相沉積)、電漿CVD或可流動CVD形成的絕緣材料的一或多個層,絕緣材料諸如氧化矽、氮氧化矽或氮化矽。在可流動CVD中,沉積可流動介電材料,而不是氧化矽。可流動 介電材料,如其名稱暗示,可在沉積期間「流動」以填充具有大深寬比的縫隙或間隙。通常,將不同化學劑添加至含矽前驅物中以允許沉積膜流動。在一些實施例中,添加氫化氮鍵。可流動介電質前驅物(特別是可流動氧化矽前驅物)的實例包括矽酸鹽、矽氧烷、甲基倍半矽氧烷(MSQ)、氫倍半矽氧烷(HSQ)、MSQ及HSQ的混合物、過氫矽氮烷(TCPS)、過氫-聚矽氮烷(PSZ)、正矽酸四乙酯(TEOS)、或甲矽烷基胺,諸如三甲矽烷基(TSA)。此些可流動氧化矽材料在多個操作製程中形成。在沉積可流動膜之後,對其進行固化及隨後退火以去除不期望的元素以形成氧化矽。可流動膜可摻雜有硼及/或磷。在一些實施例中,隔離絕緣層30可由旋壓玻璃(SOG)、SiO、SiON、SiOCN及/或氟化物摻雜矽玻璃(FSG)的一或多層形成。
在鰭式結構20上方形成隔離絕緣層30之後,執行平坦化操作以去除隔離絕緣層30及遮罩層(墊氧化物層及氮化矽遮罩層)的部分。平坦化操作可包括化學機械拋光(chemical mechanical polishing;CMP)及/或回蝕製程。接下來,進一步去除隔離絕緣層30,以便暴露鰭式結構20的上部,其將成為通道層,如第2B圖圖示。
在某些實施例中,可使用濕式蝕刻製程,例如藉由將基板浸泡在氫氟酸(HF)中,來執行隔離絕緣層30的部分去除。在另一實施例中,可使用乾式蝕刻製程執行隔離絕緣層30的部分去除。例如,可使用乾式蝕刻製程,其使用CHF3或BF3作為蝕刻氣體。
在形成隔離絕緣層30之後,可執行熱製程,例如退火製程以改善隔離絕緣層30的品質。在某些實施例中,藉由在約900℃至約1050℃的溫度下在惰性氣體環境(諸如N2、Ar或He環境)中,使用快速熱退火(rapid thermal annealing;RTA)持續約1.5秒至約10秒,來執行熱製程。
隨後,虛設閘極結構40形成於鰭式結構20的部分上方,如第2C圖中圖示。
介電層及多晶矽層形成於隔離絕緣層30及暴露的鰭式結構20上方,及隨後執行圖案化操作以便獲得虛設閘極結構,此虛設閘極結構包括由多晶矽組成的虛設閘電極層44及虛設閘極介電層42。在一些實施例中,藉由使用包括氮化矽層及氧化物層的遮罩層,來執行多晶矽層的圖案化。虛設閘極介電層42可為由CVD、PVD、ALD、電子束蒸發、或其他適當製程形成的氧化矽。在一些實施例中,虛設閘極介電層42可包括氧化矽、氮化矽、氮氧化矽或高介電常數介電質的一或多層。在一些實施例中,虛設閘極介電層的厚度範圍為約1nm至約5nm。
在一些實施例中,虛設閘電極層44可為具有均勻或不均勻摻雜的摻雜多晶矽。在本實施例中,虛設閘電極層44的寬度範圍為約30nm至約60nm。在一些實施例中,虛設閘電極層的厚度範圍為約30nm至約50nm。另外,臨近虛設閘極結構40的兩側可設置一或多個虛設閘極結構以改善圖案化製程中的圖案保真度。在一些實施例中,虛設閘 極結構40的寬度範圍為約5nm至約40nm,及在其他實施例中為約7nm至約15nm。
此外,如第2C圖圖示,側壁間隔物46形成於虛設閘極結構40的相對側面上。側壁間隔物46的絕緣材料層形成於虛設閘極結構40上方。絕緣材料層以保形方式沉積以便其分別在垂直表面上形成有實質上相等的厚度,此垂直表面諸如分別為虛設閘極結構40的側壁、水平面及頂部。在一些實施例中,絕緣材料層的厚度範圍為約5nm至約20nm。絕緣材料層包括SiN、SiON及SiCN或任何其他適當介電質材料的一或多種。絕緣材料層可藉由ALD或CVD、或任何其他適當的方法形成。接下來,絕緣材料層的底部藉由各向異性蝕刻去除,從而形成側壁間隔物46。在一些實施例中,側壁間隔物46包括不同絕緣材料的兩至四層。在一些實施例中,虛設閘極介電層42的部分設置於側壁間隔物46與隔離絕緣層30之間。在其他的實施例中,虛設閘極介電層42的任意部分沒有設置於側壁間隔物46與隔離絕緣層30之間。
隨後,在一些實施例中,向下蝕刻(凹進)未由虛設閘極結構40覆蓋的鰭式結構20的源極/汲極區以形成源極/汲極凹槽。在形成源極/汲極凹槽之後,在源極/汲極凹槽中形成一或多個源極/汲極磊晶層。在一些實施例中,形成第一磊晶層、第二磊晶層及第三磊晶層。在其他實施例中,沒有凹槽形成且磊晶層形成於鰭式結構上方。
在一些實施例中,對於N型FinFET,第一磊晶層包括SiP或SiCP,及在一些實施例中,對於P型FinFET, 第一磊晶層包括摻雜有B的SiGe。在一些實施例中,第一磊晶層中的P(磷)含量範圍為約1×1018原子/cm3至約1×1020原子/cm3。在一些實施例中,第一磊晶層的厚度範圍為約5nm至約20nm,及在其他實施例中為約5nm至約15nm。當第一磊晶層為SiGe時,Ge含量範圍在一些實施例中為約25原子%至約32原子%,及在其他實施例中為約28原子%至約30原子%。在一些實施例中,對於N型FinFET,第二磊晶層包括SiP或SiCP,及對於P型FinFET,第二磊晶層包括摻雜有B的SiGe。在一些實施例中,第二磊晶層的磷含量高於第一磊晶層的磷含量,且範圍為約1×1020原子/cm3至約2×1020原子/cm3。在此實施例中,第二磊晶層的厚度範圍為約20nm至約40nm,或在其他實施例中為約25nm至約35nm。當第二磊晶層為SiGe時,Ge含量範圍在一些實施例中為約35原子%至約55原子%,及在其他實施例中為約41原子%至約46原子%。第三磊晶層可包括SiP磊晶層。第三磊晶層為源極/汲極中矽化物形成的犧牲層。在一些實施例中,第三磊晶層中的磷含量小於第二磊晶層的磷含量,且範圍為約1×1018原子/cm3至約1×1021原子/cm3。當第三磊晶層為SiGe時,Ge含量在一些實施例中小於約20原子%,及在其他實施例中為約1原子%至約18原子%。
在至少一個實施例中,磊晶層藉由LPCVD製程、分子束磊晶、原子層沉積或任意其他適當方法而磊晶生長。使用矽源氣體,諸如SiH4、Si2H6或Si3H8;鍺源氣體,諸如GeH4或G2H6;碳源氣體,諸如CH4、SiH3CH3;及磷 源氣體,諸如PH3,在約400至850℃的溫度下及在約1托至200托的壓力下執行LPCVD製程。
接下來,如第2C圖圖示,在S/D磊晶層及虛設閘極結構40上方形成層間介電(ILD)層50。ILD層50的材料包括諸如氧化矽、SiCOH及SiOC之化合物,此化合物包括Si、O、C及/或H。諸如聚合物的有機材料可用於ILD層50。
在形成ILD層50之後,執行諸如CMP的平坦化操作,以便暴露虛設閘電極層44的頂部分,如第2C圖圖示。在一些實施例中,在形成ILD層50之前,形成接觸蝕刻停止層,諸如氮化矽層或氮氧化矽層。
接下來,去除虛設閘電極層44及虛設閘極介電層42,從而形成閘極間隙47,如第2D圖圖示。可使用電漿乾式蝕刻及/或濕式蝕刻去除虛設閘極結構。當虛設閘電極層44為多晶矽及ILD層50為氧化矽時,諸如TMAH溶液的濕蝕刻劑可用於選擇性地去除虛設閘電極層44。此後使用電漿乾式蝕刻及/或濕式蝕刻去除虛設閘極介電層42。
第3A圖圖示在鰭式結構20的通道區暴露於閘極間隙47之後的結構。在第3A圖至第3F圖中,省去側壁間隔物46及ILD層50。
如第3B圖圖示,在第3G圖的S301處,介面層81形成於鰭式結構20上,及在第3G圖的S303處,閘極介電層82形成於介面層81上。在一些實施例中,藉由使用化學氧化來形成介面層。在一些實施例中,介面層81包括氧化矽、氮化矽及混合氧化矽鍺中的一者。在一些實施例中,介 面層81的厚度範圍為約0.2nm至約6nm。在一些實施例中,閘極介電層82包括一或多層介電材料,諸如氧化矽、氮化矽或高介電常數介電材料,其他適合介電材料,及/或其組合。高介電常數介電材料的實例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、La2O3、HfO2-La2O3、Y2O3或其他適合高介電常數介電材料,及/或其組合。閘極介電層82可藉由CVD、ALD或任何適當方法而形成。在一個實施例中,使用諸如ALD的高保形沉積製程形成閘極介電層82,以確保圍繞每個通道層具有均勻厚度的閘極介電層的形成。在一個實施例中閘極介電層82的厚度範圍為約1nm至約100nm。
接下來,如第3C圖圖示,在第3G圖的S305處,形成第一導電層83及防護層84。在一些實施例中,第一導電層83及防護層84可藉由CVD、ALD或任何適當的方法而形成。在一些實施例中,在不破壞真空的情況下在形成第一導電層83之後形成防護層84,以便可避免膜受到大氣的污染或氧化。在其他實施例中,在不破壞真空的情況下,在形成第一導電層83之後形成防護層84。
在一些實施例中,防護層84由Si、氮化矽、矽化鈦(例如,TiSi、TiSi2、Ti3Si、Ti5Si3、Ti5Si4等)、SiC、SiCl、Ti、TiC、TiCl、TiN及SiTiN中的一者組成。在一些實施例中,防護層藉由CVD、ALD或任何其他適當的膜形成方法而形成。在一些實施例中,使用諸如ALD的 高保形沉積製程形成防護層84,以確保在每個通道層的第一導電層83上方具有均勻厚度的防護層84的形成。在其他實施例中,防護層84藉由高溫熱分解、Si的前驅物及/或Ti的前驅物及/或N的前驅物的化學反應而形成。在一些實施例中,、Si源(前驅物)包括矽烷(SiH4)、二矽烷(Si2H6)、二氯矽烷(SiH2Cl2)、六氯二矽烷(Si2Cl6)、二甲基二氯矽烷(Si(CH3)2Cl2)、TEOS(Si(OC2H5)4、三氯矽烷(SiHCl3)、三氯二矽烷(Si2H3Cl3)、六甲基二矽烷((Si(CH3)3)2、及四乙基矽烷(Si(C2H5)4中的一或多者。在一些實施例中,Ti源(前驅物)為四氯化鈦(TiCl4)、四-二甲基醯胺基-鈦(Ti(N(CH3)2)4、及三(二甲基醯胺基)-(二甲基醯胺基-2-丙醇)鈦(Ti(NMe2)3(dmap))中的一或多者。在一些實施例中,氮源(前驅物)為氨氣(NH3)、聯氨(N2H4)及N2中的一或多者。在一些實施例中,使用原子層沉積(ALD)。在一些實施例中,膜形成溫度範圍為約250℃至約600℃,及在其他的實施例中為約400℃至500℃。在一些實施例中,膜形成製程壓力範圍為約1托至約150托。
第4A圖、第4B圖、第4C圖及第4D圖圖示用以形成防護層84的SiTiN層的ALD操作的不同氣體供應時間。第4E圖、第4F圖、第4G圖及第4H圖圖示用以分別形成防護層84的SiN、Ti、Si、TiSi層的ALD操作的不同氣體供應時間。在一些實施例中,CVD使用圖示氣體供應時間。
在第4A圖圖示的實施例中,藉由供應Ti前驅物(例如,TiCl4)及N前驅物「N Pc」(例如,NH3)的第 一循環、供應Si前驅物「Si Pc」(例如,SiH4)及N前驅物的第二循環來執行,並且第一循環重複m次(m=1、2、3...),及第二循環重複n次(n=1、2、3...)。因而,以此順序重複供應前驅物Ti、N、Si及N。當改變前驅物時,供應淨化氣體(例如,氬氣)以淨化過量前驅物。
在第4B圖中圖示的實施例中,藉由以此順序供應Si前驅物、Ti前驅物及N前驅物來執行ALD,其可重複兩次或更多次。因而,以此順序重複供應前驅物Si、Ti及N。當改變前驅物時供應淨化氣體。氣體供應順序可變化。
在第4C圖中圖示的實施例中,藉由以此順序供應Ti前驅物、Si前驅物及N前驅物來執行ALD,其可重複兩次或更多次。因而,以此順序重複供應前驅物Ti、Si及N。當改變前驅物時供應淨化氣體。氣體供應順序可變化。
在第4D圖中圖示的實施例中,藉由以此順序供應Ti前驅物及Si前驅物與N前驅物的混合氣體來執行ALD,其可重複兩次或更多次。因而,以此順序重複供應Ti及Si與N的混合物。當改變前驅物時供應淨化氣體。氣體供應順序可變化。
在第4E圖中圖示的實施例中,藉由供應Si前驅物及N前驅物來執行用於SiN層的ALD,其可重複兩次或更多次。因而,以此順序重複供應前驅物Si及N。當變化前驅物時執行藉由淨化氣體的一或多個淨化操作。
在第4F圖中圖示的實施例中,藉由供應Ti前驅物及包括Ar或H2氣體中的至少一者的淨化氣體來執行用於Ti層的ALD,其可重複兩次或更多次。
在第4G圖中圖示的實施例中,藉由供應Si前驅物及淨化氣體來執行用於Si層的ALD,其可重複兩次或更多次。
在第4H圖中圖示的實施例中,藉由以此順序供應Ti前驅物及Si前驅物來執行用於TiSi層的ALD,其可重複兩次或更多次。當改變前驅物時供應淨化氣體。氣體供應順序可變化。
在一些實施例中,在第3G圖的S307處,在形成防護層84之後,在約600℃至約800℃的溫度下執行第一退火操作持續約1毫微秒(急劇熱退火,諸如雷射退火)至約360秒。
第一退火可有助於緻密閘極介電層82及將氮摻入閘極介電層82中。氮有助於使氧空位鈍化、減少洩漏及提高元件可靠性。第一退火亦可有助於形成穩定互混層,其有助於為後續金屬閘極膜沉積於介電層上提供穩定平臺。當溫度過高時,第一退火在高介電常數介電層82中可導致結晶及晶界形成,其影響洩漏效能及介面層81的再生長,這減慢了元件速度。相反,當溫度過低時,第一退火在高介電常數介電層中可能不提供充足的緻密化,並且在後續金屬閘極沉積製程期間導致元件不穩定性/變化。
隨後,包括介面層81、閘極介電層82、第一導電層83及防護層84的堆疊結構在約室溫(25℃)至約550℃的溫度下浸泡在含氟氣體(例如,F2及/或NF3)中持續約4秒至約15分鐘。如上文闡述,摻入氟有助於改善功函數調整性質、降低PMOS元件的Vt、使閘極介電層82中的氧空位鈍化、減少洩漏及減少閘極介電層中的懸空鍵。另一方面,氟浸泡可能對第一導電層83(例如,藉由F前驅物氣體蝕刻)及/或閘極介電層(例如,介電常數降低)造成一些損害。防護層84的使用可抑制或避免此些問題。
此後,在第3G圖的S309處,覆蓋層85(例如,晶體、多晶或非晶矽層)形成於防護層84上方,如第3D圖圖示,在一些實施例中,在第3G圖的S311處,在約550℃至約1300℃的溫度下執行第二退火操作持續約1毫微秒(急劇熱退火,諸如雷射退火)至約360秒。在一些實施例中,溫度範圍為900℃至1100℃。在一些實施例中,此舉導致氟擴散進覆蓋層85、防護層84、第一導電層83及閘極介電層82中。在第3G圖的S313處,在第二退火操作之後,去除覆蓋層85,如第3E圖圖示。
具有Si覆蓋層85的第二退火亦有助於改善閘極介電層82的品質。在相對低溫下形成諸如高介電常數介電層的閘極介電層,以避免結晶及晶界形成,而金屬閘極膜在相對較高溫度下沉積。因此,期望在金屬閘極沉積之前使高介電常數介電層更加地熱穩定。在如上文闡述的溫度範圍處對覆蓋層85進行第二退火可緻密高介電常數層,並且使其熱 穩定,在金屬閘極沉積期間沒有任何熱氧化物反轉。第二退火亦有助於氟從外層(例如,覆蓋層及防護層)熱擴散進第一導電層83、閘極介電層82及介面層81中。覆蓋層85用於保護閘極介電層82及第一導電層83免於不當的氧化損害並將此些膜與退火氣氛隔離。在閘極介電層熱穩定之後,在最終元件中不再需要覆蓋層85並且因此去除覆蓋層85。
隨後,在第3G圖的S315處,形成阻障層86,隨後在第3G圖的S317處,在防護層84上方形成包括一或多個功函數調整層87及一主體金屬層(閘電極層)88的閘極金屬層。
在一些實施例中,阻障層86由TaN組成並且充當蝕刻停止阻障層。在對後續形成的P型及N型功函數調整層進行圖案化以形成多個Vt元件期間,阻障層86充當濕式蝕刻停止層。在一些實施例中,從N型元件區域去除P型功函數調整層,同時將P型功函數調整層保留在另一PMOS上。
在一些實施例中,功函數調整層87由導電材料組成,諸如TiN、WN、TaAlC、TiC、TaC、Co、Al、TiAl、或TiAlC之單層,或者兩種或更多種此些材料之多層。對於n通道FET,TaN、TaAlC、TiN、TiC、Co或TiAl的一或多種用作功函數調整層,以及對於p通道FET,TiAlC、Al、TiAl、TaN、TaAlC、TiN、WN、TiC及Co的一或多種用作功函數調整層。功函數調整層可藉由ALD、PVD、CVD、電子束蒸發或其他適當製程而形成。此外,可分別針對可使用不同金屬層的N通道FET及P通道FET形成功函數調整 層。在一些實施例中,沉積功函數調整層87並且藉由使用一或多個微影及蝕刻操作而從一些電晶體選擇性地去除功函數調整層87。
閘電極層(主體金屬層)88包括一或多層導電材料,諸如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他適合材料,及/或其組合。主體金屬層88可藉由CVD、ALD、電鍍、或其他適合方法而形成。
氟浸泡及覆蓋層(例如,Si覆蓋層)85的形成的順序並不限於上述順序。在一些實施例中,在Si覆蓋層85形成之前執行氟浸泡,氟浸泡與覆蓋層85形成同時進行,即,在例如約300℃至約450℃的溫度下引入F2氣體而在Si覆蓋層沉積期間進行氟浸泡,或者在Si覆蓋層85形成之後進行氟浸泡。
第5圖圖示根據本揭露的實施例的半導體元件的橫截面視圖。第6A圖至第6F圖圖示根據本揭露的實施例的第5圖的半導體元件的連續製造製程的不同階段的橫截面視圖。第6G圖圖示根據本揭露的實施例的製造半導體元件的製程流程。應理解,在連續製造製程中,可以在由第6A圖至第6F圖圖示的步驟之前、期間及之後提供一或多個附加操作,並且可以替換或除去如下所述的一些操作以獲得此方法的另外實施例。操作/製程的順序可為互換的。參照上述實施例描述的材料、配置、尺寸、製程及/或操作可用於下列實施例中,並且可省去其詳細說明。
在本實施例中,最終半導體元件結構不包括防護層,如第5圖圖示。在一些實施例中,防護層84包括SixTiyNz,其中0
Figure 108138722-A0305-02-0032-94
x<1、0
Figure 108138722-A0305-02-0032-95
y
Figure 108138722-A0305-02-0032-96
1、及0
Figure 108138722-A0305-02-0032-97
z
Figure 108138722-A0305-02-0032-98
0.7。在其他的實施例中,防護層84由Si組成。在其他的實施例中,防護層84由氮化矽、Ti、氮化鈦、矽化鈦(例如,TiSi、TiSi2、Ti3Si、Ti5Si3、Ti5Si4等)中的一者組成。
第6G圖及第6A圖至第6D圖的操作S601、S603、S605、S607、S609、S611及S613分別與第3G圖及第3A圖至第3D圖的操作S301、S303、S305、S307、S309、S311及S313相同。如第6E圖圖示,在去除覆蓋層85之後,在第6G圖的S615處,亦去除防護層84。接下來,在第6G圖的S619及S621處,如第6F圖圖示,形成阻障層86、一或多個功函數調整層87及閘電極層88。在一些實施例中,在第一退火操作之後且在覆蓋層85沉積之前去除防護層84。在一些實施例中,在第二退火操作及覆蓋層去除操作之後去除防護層84。在一些實施例中,在覆蓋層85的去除操作期間同時去除防護層84。在約25℃至約200℃的溫度範圍內,可藉由乾式蝕刻及/或藉由濕式蝕刻化學劑(例如,HCl、NH4OH、H2O2及去離子水的組合)來去除防護層84。在其中第一退火操作之後去除防護層84的一些實施例中,觀察到介面層再生長減小了約0.13nm(即,小於所形成的的介面層厚度約0.13nm)。在其中第一退火操作之後去除防護層的一些實施例中,觀察到介面層再生長減小了約0.2nm至0.4nm(即,小於所形成的的介面層厚度約0.2nm至0.4 nm)。在一些實施例中,在第6G圖的S617處,在去除防護層84之後且在沉積阻障層及或第二導電層之前,再沉積第一導電層83以在防護層去除操作期間恢復第一導電層的損失或損害。
第7圖圖示根據本揭露的實施例的半導體元件的橫截面視圖。第8A圖至第8F圖圖示根據本揭露的實施例的第7圖的半導體元件的連續製造製程的不同階段的橫截面視圖。第8G圖圖示根據本揭露的實施例的製造半導體元件的製程流程。應理解,在連續製造製程中,可以在由第8A圖至第8F圖圖示的步驟之前、期間及之後提供一或多個附加操作,並且可以替換或除去如下所述的一些操作以獲得此方法的另外實施例。操作/製程之順序可為互換的。參照上述實施例描述的材料、配置、尺寸、製程及/或操作可用於下列實施例中,並且可省略其詳細說明。
在本實施例中,不執行氟浸泡,並且因而半導體元件的閘極結構及通道區不含氟,如第7圖圖示。在一些實施例中,防護層84包括以下各者中的一者:SixNy(其中0.3
Figure 108138722-A0305-02-0033-99
x<0.75,0.25
Figure 108138722-A0305-02-0033-100
y
Figure 108138722-A0305-02-0033-101
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0033-103
x<0.99,0.01
Figure 108138722-A0305-02-0033-104
y
Figure 108138722-A0305-02-0033-105
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0033-106
x<0.99,0.01
Figure 108138722-A0305-02-0033-107
y
Figure 108138722-A0305-02-0033-108
0.1,及x+y=1)、矽化鈦、TixSiy(其中0.25
Figure 108138722-A0305-02-0033-109
x<0.99,0.01
Figure 108138722-A0305-02-0033-110
y
Figure 108138722-A0305-02-0033-111
0.75,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0033-112
x<0.99,0.01
Figure 108138722-A0305-02-0033-113
y
Figure 108138722-A0305-02-0033-114
0.7,及x+y=1),及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0033-115
x<0.75,0.01
Figure 108138722-A0305-02-0033-116
y
Figure 108138722-A0305-02-0033-117
0.99,0.01
Figure 108138722-A0305-02-0033-118
z
Figure 108138722-A0305-02-0033-119
0.7,及x+y+z=1)。
第8G圖及第8A圖至第8C圖的操作S801、S803及S805分別與第3G圖及第3A圖至第3C圖的操作S301、S303及S305相同。如第8D圖圖示,在形成第一導電層83及防護層84及在第8G圖的S807處執行第一退火操作之後,在第8G圖的S809處,在不執行氟浸泡操作的情況下形成覆蓋層85,如第8D圖圖示。在第8G圖的S811處執行第二退火操作之後,在第8G圖的S813處,去除覆蓋層85,而不去除防護層84,如第8E圖圖示。接下來,如第8F圖圖示,在第8G圖的S815處形成第二導電層86,及在第8G圖的S817處形成一或多個功函數調整層87及閘電極層88。
第9圖圖示根據本揭露的實施例的半導體元件的橫截面視圖。第10A圖至第10F圖圖示根據本揭露的實施例的第9圖的半導體元件的連續製造製程的不同階段的橫截面視圖。第10G圖圖示根據本揭露的實施例的製造半導體元件的製程流程。應理解,在連續製造製程中,可以在由第10A圖至第10F圖圖示的步驟之前、期間及之後提供一或多個附加操作,並且可以替換或除去如下所述的一些操作以獲得此方法的另外實施例。操作/製程的順序可為互換的。參照上述實施例描述的材料、配置、尺寸、製程及/或操作可用於下列實施例中,並且可忽略其詳細說明。
在本實施例中,不執行氟浸泡且沒有防護層包括在最終閘極結構中,如第9圖圖示。在一些實施例中,防護層84包括以下各者中的一者:Si、SixCy、SixCly(其中0.9
Figure 108138722-A0305-02-0034-120
x<0.99,0.01
Figure 108138722-A0305-02-0034-121
y
Figure 108138722-A0305-02-0034-122
0.1,及x+y=1)、SixNy(其中0.3
Figure 108138722-A0305-02-0035-123
x<0.99,0.01
Figure 108138722-A0305-02-0035-124
y
Figure 108138722-A0305-02-0035-125
0.7,及x+y=1)、Ti、TixCy、TixCly(其中0.9
Figure 108138722-A0305-02-0035-126
x<0.99,0.01
Figure 108138722-A0305-02-0035-127
y
Figure 108138722-A0305-02-0035-128
0.1,及x+y=1)、矽化鈦、TixSiy(其中0.01
Figure 108138722-A0305-02-0035-129
x<0.99,0.01
Figure 108138722-A0305-02-0035-130
y
Figure 108138722-A0305-02-0035-131
0.99,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0035-132
x<0.99,0.01
Figure 108138722-A0305-02-0035-133
y
Figure 108138722-A0305-02-0035-134
0.7,及x+y=1),SixTiyNz(其中0.01
Figure 108138722-A0305-02-0035-135
x<0.99,0.01
Figure 108138722-A0305-02-0035-136
y
Figure 108138722-A0305-02-0035-137
0.99,0.01
Figure 108138722-A0305-02-0035-138
z
Figure 108138722-A0305-02-0035-139
0.7,及x+y+z=1)。在其他實施例中,防護層84由Si、富含Si的SiN、SiC、SiCl、TiSi或SiTiN組成。
第10G圖及第10A圖至第10D圖的操作S1001、S1003及S1005分別與第8G圖及第8A圖至第8D圖的操作s801、S803及S805相同。如第8D圖圖示,在形成第一導電層83及防護層84及在第10G圖的S1007處執行第一退火操作之後,在第10G圖的S1009處,在不執行氟浸泡操作的情況下形成覆蓋層85,如第8D圖圖示。在第10G圖的S1011處執行第二退火操作之後,在第10G圖的S1013及S1015處,去除覆蓋層85'及防護層84,如第10E圖圖示。接下來,如第10F圖圖示,在第10G圖的S1019處形成第二導電層86,及在第10G圖的S1021處形成一或多個功函數調整層87及閘電極層88。在一些實施例中,在第一退火操作之後且在覆蓋層沉積之前去除防護層84。在一些實施例中,在第二退火及在覆蓋層去除操作之後去除防護層84。在一些實施例中,在第10G圖的S1017處,在去除防護層84之後且在沉積阻障層及或第二導電層之前,再沉積第一導電層83以在防護層去除操作期間恢復第一導電層的損失或損害。
本揭露描述的各種實施例或實例相較於現有技術提供若干優勢,如上文闡述。例如,在本揭露中,將薄防護層(例如,Si、Ti、TiSi、SiN、SixTiyNz)沉積於第一導電層(例如,TiN層)上以保護第一導電層免於氟蝕刻、氧化損害,即,形成雙層帽結構。雙層帽結構允許使用氟化矽(FSI)帽以成功地將氟摻入TiN層及高介電常數閘極介電層中,而不對TiN層造成損害。氟化矽覆蓋層與雙層帽結構一起使用有助於顯著改善PMOS Vt、元件可靠性。防護層亦有助於藉由將第一導電層與大氣氧及/或濕氣隔離來保護第一導電層(例如,TiN層)免於空氣氧化損壞。防護層84亦有助於阻止金屬(例如,Al)從功函數調整層87及/或從閘電極層88擴散進閘極介電層82,如第11A圖及第11B圖圖示。根據如上文闡述的本揭露的實施例,第11A圖圖示對於沒有形成防護層84的結構的鋁濃度分佈圖的EDAX(能量散射X射線光譜學)結果,以及第11B圖圖示對於具有防護層84的結構的鋁濃度分佈圖的EDAX結果。如第11B圖圖示,有效抑制Al擴散進閘極介電層82、81中。在一些實施例中,當不使用防護層時Al濃度範圍為約1原子%至約10原子%,及當形成防護層時,Al濃度小於約0.05原子%。此舉有助於藉由減少介電層82、81中的Al缺陷來改善閘極氧化物品質,從而提高元件洩漏效能。在一些實施例中,當防護層保留在最終結構中時,閘極介電層82包含的鋁含量小於0.05原子%。及在其他實施例中,小於0.02原子%。
此外,防護層84可淨化或俘獲來自第一導電層的氧,以控制介面層再生長,如第11C圖及第11D圖圖示。根據本揭露的實施例,對於具有防護層的結果以及對於沒有防護層的結構,第11C圖圖示氧的ToF(time-of-flight;飛行時間)SIMS(secondary ion mass spectrometry;次級離子質譜法)結果,及第11D圖圖示TiO2的ToF SIMS結果。如第11C圖及第11D圖圖示,有效抑制介面層81的再生長及/或第一導電層83的氧化。防護層有助於俘獲在一或多個後續退火製程期間從第一導電層及/或從閘極介電層釋放的氧。此氧淨化能力減少退火期間的介面層再生長,從而減少介面層厚度,其進而有助於增強元件速度、元件「開合」效能及/或環形振盪器工作頻率效能。對於具有防護層的結構及沒有防護層的結構,第11E圖圖示來自第一導電層83的鈦2p3/2軌道(Ti2p3/2)高峰的XPS(X射線的光電子光譜學)光譜。如第11E圖圖示,在使用防護層的情況下,顯著抑制了鈦與氧峰鍵合並提高了鈦與氮峰鍵合,指示藉由防護層對第一導電層(例如,TiN層)的氧化保護。在一些實施例中,防護層84有助於將第一導電層83的鍵合至氧原子的Ti數目(Ti-O)與鍵合至氮原子的Ti原子數目(Ti-N)的比率(即,Ti-O/Ti-N比率)從約0.25至0.95(即,沒有使用防護層84)減小至約0.03-0.48(即,使用防護層)。在一些實施例中,閘極介電層82由HfO2-La2O3組成。在此種情況下,防護層84有助於將閘極介電層82中的金屬(諸如鑭)遠離通道、介面層81。提高了鑭從閘極介電層82向防護層84外擴散, 從而減小PMOS元件閾電壓及元件閃變雜訊問題,這是由於減少鑭量及HfO2-La2O3閘極介電層82與介面層81的介面處的偶極散射導致的。在一些實施例中,HfO2-La2O3閘極介電層82與介面層81的介面處的La濃度,當沒有使用防護層時,為從約1原子%至約60原子%,及當形成防護層時,La濃度小於約0.05原子%至約10原子%。防護層中愈來愈多的Si含量導致愈來愈多的La外擴散。
如上文闡述的實施例並不限於FinFET,並可應用於其他種類的N型及/或P型電晶體,此種全捲繞閘極(GAA)電晶體包括橫向閘極全捲繞(LGAA)電晶體及垂直閘極全捲繞(VGAA)電晶體。
應當理解,並非所有優點都必須在本揭露中論述,無特定有點為所有實施例或實例所必需,並且其他實施例或實例可以提供不同的優點。
根據本揭露的一個態樣,在製造半導體元件的方法中,閘極介電層形成於通道區上方,第一導電層形成於閘極介電層上方,防護層形成於第一導電層上方,覆蓋層形成於防護層上方,在形成覆蓋層之後執行第一退火操作,在第一退火操作之後去除覆蓋層,以及在去除覆蓋層之後形成閘電極層。在上述或下列實施例的一或多者中,第一導電層為金屬氮化物層。在上述或下列實施例的一或多者中,第一導電層由TiN組成。在上述或下列實施例的一或多者中,第一導電層的厚度範圍為0.3nm至30nm。在上述或下列實施例的一或多者中,防護層由以下各者中的一者組成:Si、 SixCy(其中0.9
Figure 108138722-A0305-02-0039-140
x<0.99,0.01
Figure 108138722-A0305-02-0039-141
y
Figure 108138722-A0305-02-0039-142
0.1,及x+y=1)、SixCly(其中0.9
Figure 108138722-A0305-02-0039-143
x<0.99,0.01
Figure 108138722-A0305-02-0039-144
y
Figure 108138722-A0305-02-0039-145
0.1,及x+y=1)、SixNy(其中0.3
Figure 108138722-A0305-02-0039-146
x<0.99,0.01
Figure 108138722-A0305-02-0039-148
y
Figure 108138722-A0305-02-0039-149
0.7,及x+y=1)、Ti、TixCy、TixCly(其中0.9
Figure 108138722-A0305-02-0039-150
x<0.99,0.01
Figure 108138722-A0305-02-0039-151
y
Figure 108138722-A0305-02-0039-153
0.1,及x+y=1)、矽化鈦、TixSiy(其中0.01
Figure 108138722-A0305-02-0039-154
x<0.99,0.01
Figure 108138722-A0305-02-0039-155
y
Figure 108138722-A0305-02-0039-156
0.99,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0039-157
x<0.99,0.01
Figure 108138722-A0305-02-0039-158
y
Figure 108138722-A0305-02-0039-159
0.7,及x+y=1),及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0039-160
x<0.99,0.01
Figure 108138722-A0305-02-0039-161
y
Figure 108138722-A0305-02-0039-163
0.99,0.01
Figure 108138722-A0305-02-0039-164
z
Figure 108138722-A0305-02-0039-165
0.7,及x+y+z=1)。在上述或下列實施例的一或多者中,防護層的厚度範圍為0.5nm至30nm。在上述或下列實施例的一或多者中,其中覆蓋層由晶體矽、多晶矽或非晶矽組成。在上述或下列實施例的一或多者中,覆蓋層包括氟。在上述或下列實施例的一或多者中,在形成覆蓋層之前且在形成防護層之後執行第二退火操作。在上述或下列實施例的一或多者中,第一退火操作的退火溫度高於第二退火操作的退火溫度。在上述或下列實施例的一或多者中,第一退火操作的退火溫度範圍為900℃至1300℃。在上述或下列實施例的一或多者中,第二退火操作的退火溫度範圍為600℃至800℃。在上述或下列實施例的一或多者中,在去除覆蓋層之後,去除防護層。在上述或下列實施例的一或多者中,在去除防護層之後,在金屬氮化物層上方形成附加金屬氮化物層,此附加金屬氮化物層由與第一導電金屬氮化物層相同的材料組成。
根據本揭露的另一態樣,在製造半導體元件的方法中,在通道區上方形成閘極介電層,在閘極介電層上方 形成第一導電層,在第一導電層上方形成防護層,在形成防護層之後執行第一退火操作,執行氟浸泡操作,在防護層上方形成覆蓋層,在形成覆蓋層之後執行第二退火操作,在第二退火操作之後去除覆蓋層,以及在去除覆蓋層之後形成閘電極層。在上述或下列實施例的一或多者中,第一導電層由TiN組成。在上述或下列實施例的一或多者中,防護層由SiN、Ti、TiSi、SixTiyNz中的一者組成,其中0
Figure 108138722-A0305-02-0040-166
x<1、0
Figure 108138722-A0305-02-0040-167
y
Figure 108138722-A0305-02-0040-168
1、0
Figure 108138722-A0305-02-0040-169
z
Figure 108138722-A0305-02-0040-170
1、及x+y+z=1。在上述或下列實施例的一或多者中,第一退火操作的退火溫度低於第二退火操作的退火溫度。在上述或下列實施例的一或多者中,第一退火操作的退火溫度範圍為600℃至800℃,及第二退火操作的退火溫度範圍為900℃至1300℃。
根據本揭露的另一態樣,在製造半導體元件的方法中,在通道區上方形成閘極介電層,在閘極介電層上方形成第一導電層,在第一導電層上方形成防護層,在形成防護層之後執行第一退火操作,在防護層上方形成覆蓋層,在形成覆蓋層之後執行第二退火操作,在第二退火操作之後去除覆蓋層及防護層,以及在去除覆蓋層之後形成閘電極層。
根據本揭露的另一態樣,半導體元件包括通道層、設置於通道層上方的閘極介電層、設置於閘極介電層上方的金屬氮化物層、設置於金屬氮化物層上方的防護層、及設置於覆蓋層上方的閘電極層。金屬氮化物層由TiN組成,及防護層由選自群組的一者組成,此群組由以下各者組成:SixNy(其中0.3
Figure 108138722-A0305-02-0040-171
x<0.75,0.25
Figure 108138722-A0305-02-0040-172
y
Figure 108138722-A0305-02-0040-173
0.7,及x+y=1)、Ti、 TixCy(其中0.9
Figure 108138722-A0305-02-0041-174
x<0.99,0.01
Figure 108138722-A0305-02-0041-176
y
Figure 108138722-A0305-02-0041-177
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0041-178
x<0.99,0.01
Figure 108138722-A0305-02-0041-179
y
Figure 108138722-A0305-02-0041-180
0.1,及x+y=1)、矽化鈦、TixSiy(其中0.25
Figure 108138722-A0305-02-0041-181
x<0.99,0.01
Figure 108138722-A0305-02-0041-182
y
Figure 108138722-A0305-02-0041-183
0.75,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0041-184
x<0.99,0.01
Figure 108138722-A0305-02-0041-185
y
Figure 108138722-A0305-02-0041-186
0.7,及x+y=1),及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0041-187
x<0.75,0.01
Figure 108138722-A0305-02-0041-188
y
Figure 108138722-A0305-02-0041-189
0.99,0.01
Figure 108138722-A0305-02-0041-190
z
Figure 108138722-A0305-02-0041-192
0.7,及x+y+z=1)。在上述或下列實施例的一或多者中,金屬氮化物層的厚度T1及防護層的厚度T2滿足0.05
Figure 108138722-A0305-02-0041-193
T2/(T1+T2)<0.85。在上述或下列實施例的一或多者中,金屬氮化物層的厚度範圍為0.3nm至30nm。在上述或下列實施例的一或多者中,防護層的厚度範圍為0.5nm至30nm。在上述或下列實施例的一或多者中,防護層包括的氟含量範圍在0.02原子%至75原子%。在上述或下列實施例的一或多者中,金屬氮化物層包括的氟含量範圍在0.02原子%至55原子%。在上述或下列實施例的一或多者中,閘極介電層包括的氟含量範圍在0.01原子%至40原子%。在上述或下列實施例的一或多者中,防護層由SiN組成。
根據本揭露的另一態樣,半導體元件包括通道層、設置於通道層上方的閘極介電層、設置於閘極介電層上方的金屬氮化物層、及設置於金屬氮化物層上方的閘電極層。金屬氮化物層由TiN組成,及金屬氮化物層及閘極介電層包括氟。在上述或下列實施例的一或多者中,閘極介電層中的氟含量小於金屬氮化物層中的氟含量。在上述或下列實施例的一或多者中,金屬氮化物層包括的氟含量範圍在0.02原子%至55原子%。在上述或下列實施例的一或多者中,閘 極介電層包括的氟含量範圍在0.01原子%至40原子%。在上述或下列實施例的一或多者中,金屬氮化物層的厚度範圍為0.3nm至30nm。在上述或下列實施例的一或多者中,半導體元件包括由矽基絕緣材料組成並包括氟的閘極側壁間隔物。
根據本揭露的另一態樣,半導體元件包括具有通道層的鰭式結構、隔離絕緣層、設置於通道層上方的閘極介電層、設置於閘極介電層上方的金屬氮化物層、設置於金屬氮化物層上方的防護層、及設置於覆蓋層上方的閘電極層。金屬氮化物層由TiN組成,及防護層由選自群組的一者組成,此群組由以下各者組成:Si、SixCy(其中0.9
Figure 108138722-A0305-02-0042-194
x<0.99,0.01
Figure 108138722-A0305-02-0042-195
y
Figure 108138722-A0305-02-0042-196
0.1,及x+y=1)、SixCly(其中0.9
Figure 108138722-A0305-02-0042-197
x<0.99,0.01
Figure 108138722-A0305-02-0042-198
y
Figure 108138722-A0305-02-0042-200
0.1,及x+y=1)、SixNy(其中0.3
Figure 108138722-A0305-02-0042-201
x<0.99,0.01
Figure 108138722-A0305-02-0042-202
y
Figure 108138722-A0305-02-0042-203
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0042-204
x<0.99,0.01
Figure 108138722-A0305-02-0042-205
y
Figure 108138722-A0305-02-0042-206
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0042-207
x<0.99,0.01
Figure 108138722-A0305-02-0042-208
y
Figure 108138722-A0305-02-0042-209
0.1,及x+y=1),矽化鈦、TixSiy(其中0.01
Figure 108138722-A0305-02-0042-210
x<0.99,0.01
Figure 108138722-A0305-02-0042-211
y
Figure 108138722-A0305-02-0042-212
0.99,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0042-213
x<0.99、0.01
Figure 108138722-A0305-02-0042-214
y
Figure 108138722-A0305-02-0042-216
0.7、及x+y=1)、及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0042-217
x<0.99、0.01
Figure 108138722-A0305-02-0042-218
y
Figure 108138722-A0305-02-0042-219
0.99、0.01
Figure 108138722-A0305-02-0042-220
z
Figure 108138722-A0305-02-0042-221
0.7、及x+y+z=1)。在上述或下列實施例的一或多者中,金屬氮化物層的厚度T1及防護層的厚度T2滿足0.05
Figure 108138722-A0305-02-0042-222
T2/(T1+T2)<0.85。在上述或下列實施例的一或多者中,金屬氮化物層、防護層及閘極介電層包括氟,及閘極介電層中的氟含量小於金屬氮化物層中的氟含量及防護層中的氟 含量。在上述或下列實施例的一或多者中,防護層包括的氟含量範圍在0.02原子%至75原子%。在上述或下列實施例的一或多者中,金屬氮化物層包括的氟含量範圍在0.02原子%至55原子%。在上述或下列實施例的一或多者中,閘極介電層包括的氟含量範圍在0.01原子%至40原子%。
根據本揭露的一個態樣,在製造半導體元件的方法中,在通道區上方形成介面層,在介面層上方形成閘極介電層,在閘極介電層上方形成第一導電層,在第一導電層上方形成防護層,在防護層上方形成覆蓋層,在形成防護層之後執行第一退火操作,在第一退火操作之後去除覆蓋層,在去除覆蓋層之後在防護層上方形成第二導電層及閘電極層,此第二導電層作為阻障層。在上述或下列實施例的一或多者中,第一導電層由TiN組成,及第一導電層的厚度範圍為0.3nm至30nm。在上述或下列實施例的一或多者中,防護層由選自群組的一者組成,此群組由以下各者組成:SixNy(其中0.3
Figure 108138722-A0305-02-0043-223
x<0.75,0.25
Figure 108138722-A0305-02-0043-224
y
Figure 108138722-A0305-02-0043-225
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0043-226
x<0.99,0.01
Figure 108138722-A0305-02-0043-227
y
Figure 108138722-A0305-02-0043-228
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0043-229
x<0.99,0.01
Figure 108138722-A0305-02-0043-230
y
Figure 108138722-A0305-02-0043-231
0.1,及x+y=1)、矽化鈦、TixSiy(其中0.25
Figure 108138722-A0305-02-0043-232
x<0.99,0.01
Figure 108138722-A0305-02-0043-233
y
Figure 108138722-A0305-02-0043-234
0.75,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0043-235
x<0.99,0.01
Figure 108138722-A0305-02-0043-236
y
Figure 108138722-A0305-02-0043-237
0.7,及x+y=1),及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0043-238
x<0.75,0.01
Figure 108138722-A0305-02-0043-239
y
Figure 108138722-A0305-02-0043-240
0.99,0.01
Figure 108138722-A0305-02-0043-241
z
Figure 108138722-A0305-02-0043-242
0.7,及x+y+z=1)。在上述或下列實施例的一或多者中,在形成第一導電層之後在不破壞真空的情況下形成防護層。在上述或下列實施例的一或多者中,在250℃至600 ℃範圍的溫度下及在1托至150托範圍的壓力下,防護層藉由ALD及CVD製程中的一者形成。在上述或下列實施例的一或多者中,防護層的厚度範圍為0.5nm至30nm,並且其中金屬氮化物層的厚度T1及防護層的厚度T2滿足0.05
Figure 108138722-A0305-02-0044-243
T2/(T1+T2)<0.85。在上述或下列實施例的一或多者中,覆蓋層由晶體矽、多晶矽或非晶矽組成。在上述或下列實施例的一或多者中,覆蓋層包括氟。在上述或下列實施例的一或多者中,在形成覆蓋層之前且在形成防護層之後執行第二退火操作。在上述或下列實施例的一或多者中,第一退火操作的退火溫度高於第二退火操作的退火溫度,第一退火操作的退火溫度範圍為900℃至1300℃,及第二退火操作的退火溫度範圍為600℃至800℃。在上述或下列實施例的一或多者中,防護層由以下各者中的一者組成:Si、SixCy(其中0.9
Figure 108138722-A0305-02-0044-244
x<0.99,0.01
Figure 108138722-A0305-02-0044-245
y
Figure 108138722-A0305-02-0044-246
0.1,及x+y=1)、SixCly(其中0.9
Figure 108138722-A0305-02-0044-247
x<0.99,0.01
Figure 108138722-A0305-02-0044-248
y
Figure 108138722-A0305-02-0044-249
0.1,及x+y=1)、SixNy(其中0.3
Figure 108138722-A0305-02-0044-250
x<0.99,0.01
Figure 108138722-A0305-02-0044-251
y
Figure 108138722-A0305-02-0044-252
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0044-253
x<0.99,0.01
Figure 108138722-A0305-02-0044-254
y
Figure 108138722-A0305-02-0044-255
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0044-256
x<0.99,0.01
Figure 108138722-A0305-02-0044-257
y
Figure 108138722-A0305-02-0044-258
0.1,及x+y=1),矽化鈦、TixSiy(其中0.01
Figure 108138722-A0305-02-0044-259
x<0.99,0.01
Figure 108138722-A0305-02-0044-260
y
Figure 108138722-A0305-02-0044-261
0.99,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0044-262
x<0.99、0.01
Figure 108138722-A0305-02-0044-263
y
Figure 108138722-A0305-02-0044-264
0.7、及x+y=1)、及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0044-265
x<0.99、0.01
Figure 108138722-A0305-02-0044-266
y
Figure 108138722-A0305-02-0044-267
0.99、0.01
Figure 108138722-A0305-02-0044-268
z
Figure 108138722-A0305-02-0044-269
0.7、及x+y+z=1)。在上述或下列實施例的一或多者中,在去除覆蓋層之後,去除防護層。在上述或下列實施例的一或多者中,在形成覆蓋層之前且在形成防護層之後執行第二 退火操作。在上述或下列實施例的一或多者中,在450℃至850℃範圍的溫度下執行第二退火操作。在上述或下列實施例的一或多者中,在第二退火操作之後且在形成覆蓋層之前去除防護層。在上述或下列實施例的一或多者中,在去除防護層之後,在金屬氮化物層上方形成附加金屬氮化物層,此附加金屬氮化物層由與金屬氮化物層相同的材料組成。
根據本揭露的另一態樣,在製造半導體元件的方法中,在通道區上方形成閘極介電層,在閘極介電層上方形成第一導電層,在第一導電層上方形成防護層,在形成防護層之後執行第一退火操作,執行氟浸泡操作,在防護層上方形成覆蓋層,在形成覆蓋層之後執行第二退火操作,在第二退火操作之後去除覆蓋層,在去除覆蓋層之後去除防護層,以及在第一導電層上方形成第二導電層及閘電極層,此第二導電層作為阻障層。在上述或下列實施例的一或多者中,防護層由選自群組的一者組成,此群組由以下各者組成:Si、SixCy(其中0.9
Figure 108138722-A0305-02-0045-270
x<0.99,0.01
Figure 108138722-A0305-02-0045-271
y
Figure 108138722-A0305-02-0045-272
0.1,及x+y=1)、SixCly(其中0.9
Figure 108138722-A0305-02-0045-274
x<0.99,0.01
Figure 108138722-A0305-02-0045-275
y
Figure 108138722-A0305-02-0045-276
0.1,及x+y=1)、SixNy(其中0.3
Figure 108138722-A0305-02-0045-277
x<0.99,0.01
Figure 108138722-A0305-02-0045-278
y
Figure 108138722-A0305-02-0045-279
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0045-280
x<0.99,0.01
Figure 108138722-A0305-02-0045-281
y
Figure 108138722-A0305-02-0045-282
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0045-283
x<0.99,0.01
Figure 108138722-A0305-02-0045-284
y
Figure 108138722-A0305-02-0045-285
0.1,及x+y=1),矽化鈦、TixSiy(其中0.01
Figure 108138722-A0305-02-0045-286
x<0.99,0.01
Figure 108138722-A0305-02-0045-287
y
Figure 108138722-A0305-02-0045-288
0.99,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0045-289
x<0.99、0.01
Figure 108138722-A0305-02-0045-290
y
Figure 108138722-A0305-02-0045-291
0.7、及x+y=1)、及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0045-292
x<0.99、0.01
Figure 108138722-A0305-02-0045-293
y
Figure 108138722-A0305-02-0045-294
0.99、0.01
Figure 108138722-A0305-02-0045-295
z
Figure 108138722-A0305-02-0045-296
0.7、及x+y+z=1)。在上述或 下列實施例的一或多者中,在450℃至850℃範圍的溫度下,在去除防護層之後執行第三退火操作。在上述或下列實施例的一或多者中,在去除防護層之後,在金屬氮化物層上方形成附加金屬氮化物層,此附加金屬氮化物層由與金屬氮化物層相同的材料組成。
根據本揭露的另一態樣,在製造半導體元件的方法中,在通道區上方形成閘極介電層,在閘極介電層上方形成第一導電層,在第一導電層上方形成防護層,在形成防護層之後執行第一退火操作,執行氟浸泡操作,去除防護層,在第一導電層上方形成覆蓋層,在形成覆蓋層之後執行第二退火操作,在第二退火操作之後去除覆蓋層,以及在第一導電層上方形成第二導電層及閘電極層,此第二導電層作為阻障層。在上述或下列實施例的一或多者中,防護層由選自群組的一者組成,此群組由以下各者組成:Si、SixCy(其中0.9
Figure 108138722-A0305-02-0046-297
x<0.99,0.01
Figure 108138722-A0305-02-0046-298
y
Figure 108138722-A0305-02-0046-299
0.1,及x+y=1)、SixCly(其中0.9
Figure 108138722-A0305-02-0046-300
x<0.99,0.01
Figure 108138722-A0305-02-0046-301
y
Figure 108138722-A0305-02-0046-302
0.1,及x+y=1)、SixNy(其中0.3
Figure 108138722-A0305-02-0046-303
x<0.99,0.01
Figure 108138722-A0305-02-0046-304
y
Figure 108138722-A0305-02-0046-305
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0046-306
x<0.99,0.01
Figure 108138722-A0305-02-0046-307
y
Figure 108138722-A0305-02-0046-308
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0046-309
x<0.99,0.01
Figure 108138722-A0305-02-0046-310
y
Figure 108138722-A0305-02-0046-312
0.1,及x+y=1),矽化鈦、TixSiy(其中0.01
Figure 108138722-A0305-02-0046-313
x<0.99,0.01
Figure 108138722-A0305-02-0046-314
y
Figure 108138722-A0305-02-0046-315
0.99,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0046-316
x<0.99、0.01
Figure 108138722-A0305-02-0046-317
y
Figure 108138722-A0305-02-0046-318
0.7、及x+y=1)、及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0046-319
x<0.99、0.01
Figure 108138722-A0305-02-0046-320
y
Figure 108138722-A0305-02-0046-321
0.99、0.01
Figure 108138722-A0305-02-0046-322
z
Figure 108138722-A0305-02-0046-323
0.7、及x+y+z=1)。在上述或下列實施例的一或多者中,在去除防護層之後,在金屬氮化物層上方形成附加金 屬氮化物層,此附加金屬氮化物層由與金屬氮化物層相同的材料組成。
根據本揭露的另一態樣,在製造半導體元件的方法中,在通道區上方形成閘極介電層,在閘極介電層上方形成第一導電層,在第一導電層上方形成防護層,在形成防護層之後執行第一退火操作,執行氟浸泡操作,在防護層上方形成覆蓋層,在形成覆蓋層之後執行第二退火操作,在第二退火操作之後去除覆蓋層,以及在去除覆蓋層之後在閘極介電層上方形成閘電極層。在上述或下列實施例的一或多者中,防護層由以下各者中的一者組成:Si、SixCy(其中0.9
Figure 108138722-A0305-02-0047-324
x<0.99,0.01
Figure 108138722-A0305-02-0047-325
y
Figure 108138722-A0305-02-0047-326
0.1,及x+y=1)、SixCly(其中0.9
Figure 108138722-A0305-02-0047-327
x<0.99,0.01
Figure 108138722-A0305-02-0047-328
y
Figure 108138722-A0305-02-0047-329
0.1,及x+y=1)、SixNy(其中0.3
Figure 108138722-A0305-02-0047-330
x<0.99,0.01
Figure 108138722-A0305-02-0047-331
y
Figure 108138722-A0305-02-0047-332
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0047-333
x<0.99,0.01
Figure 108138722-A0305-02-0047-334
y
Figure 108138722-A0305-02-0047-335
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0047-337
x<0.99,0.01
Figure 108138722-A0305-02-0047-338
y
Figure 108138722-A0305-02-0047-339
0.1,及x+y=1),矽化鈦、TixSiy(其中0.01
Figure 108138722-A0305-02-0047-340
x<0.99,0.01
Figure 108138722-A0305-02-0047-341
y
Figure 108138722-A0305-02-0047-342
0.99,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0047-343
x<0.99、0.01
Figure 108138722-A0305-02-0047-345
y
Figure 108138722-A0305-02-0047-346
0.7、及x+y=1)、及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0047-347
x<0.99、0.01
Figure 108138722-A0305-02-0047-348
y
Figure 108138722-A0305-02-0047-349
0.99、0.01
Figure 108138722-A0305-02-0047-350
z
Figure 108138722-A0305-02-0047-351
0.7、及x+y+z=1)。在上述或下列實施例的一或多者中,第一退火操作的退火溫度範圍為600℃至800℃,及第二退火操作的退火溫度範圍為900℃至1300℃。
根據本揭露的一個態樣,半導體元件包括通道層、設置於通道層上方的介面層及閘極介電層、設置於閘極介電層上方的金屬氮化物層、設置於金屬氮化物層上方的防 護層、及設置於防護層層上方的阻障層及閘電極層。金屬氮化物層由諸如TiN的金屬氮化物組成,及防護層由選自群組的一者組成,此群組由以下各者組成:SixNy(其中0.3
Figure 108138722-A0305-02-0048-352
x<0.75,0.25
Figure 108138722-A0305-02-0048-353
y
Figure 108138722-A0305-02-0048-354
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0048-355
x<0.99,0.01
Figure 108138722-A0305-02-0048-356
y
Figure 108138722-A0305-02-0048-357
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0048-358
x<0.99,0.01
Figure 108138722-A0305-02-0048-359
y
Figure 108138722-A0305-02-0048-360
0.1,及x+y=1)、矽化鈦、TixSiy(其中0.25
Figure 108138722-A0305-02-0048-361
x<0.99,0.01
Figure 108138722-A0305-02-0048-362
y
Figure 108138722-A0305-02-0048-363
0.75,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0048-364
x<0.99,0.01
Figure 108138722-A0305-02-0048-365
y
Figure 108138722-A0305-02-0048-366
0.7,及x+y=1),及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0048-367
x<0.75,0.01
Figure 108138722-A0305-02-0048-368
y
Figure 108138722-A0305-02-0048-369
0.99,0.01
Figure 108138722-A0305-02-0048-370
z
Figure 108138722-A0305-02-0048-371
0.7,及x+y+z=1)。在上述或下列實施例的一或多者中,金屬氮化物層的厚度範圍為0.3nm至30nm,防護層的厚度範圍為0.5nm至30nm,並且金屬氮化物層的厚度T1及防護層的厚度T2滿足0.05
Figure 108138722-A0305-02-0048-372
T2/(T1+T2)<0.85。在上述或下列實施例的一或多者中,金屬氮化物層包括的氧量為1.5原子%至65原子%。在上述或下列實施例的一或多者中,閘極介電層包括的鋁含量小於0.05原子%。
根據本揭露的另一態樣,半導體元件包括通道層、介面層、設置於通道層上方的閘極介電層、設置於閘極介電層上方的金屬氮化物層、及設置於金屬氮化物層上方的阻障層及閘電極層。金屬氮化物層由TiN組成。在上述或下列實施例的一或多者中,半導體元件進一步包括在金屬氮化物層的上表面上的互混層,此互混層藉由在金屬氮化物層上沉積防護層及從金屬氮化物層的上表面去除防護層而形成。使用的防護層由選自群組的一者組成,此群組由以下各 者組成:Si、SixCy(其中0.9
Figure 108138722-A0305-02-0049-373
x<0.99,0.01
Figure 108138722-A0305-02-0049-374
y
Figure 108138722-A0305-02-0049-376
0.1,及x+y=1)、SixCly(其中0.9
Figure 108138722-A0305-02-0049-377
x<0.99,0.01
Figure 108138722-A0305-02-0049-378
y
Figure 108138722-A0305-02-0049-379
0.1,及x+y=1)、SixNy(其中0.3
Figure 108138722-A0305-02-0049-380
x<0.99,0.01
Figure 108138722-A0305-02-0049-381
y
Figure 108138722-A0305-02-0049-382
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0049-383
x<0.99,0.01
Figure 108138722-A0305-02-0049-384
y
Figure 108138722-A0305-02-0049-385
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0049-386
x<0.99,0.01
Figure 108138722-A0305-02-0049-387
y
Figure 108138722-A0305-02-0049-388
0.1,及x+y=1),矽化鈦、TixSiy(其中0.01
Figure 108138722-A0305-02-0049-389
x<0.99,0.01
Figure 108138722-A0305-02-0049-390
y
Figure 108138722-A0305-02-0049-391
0.99,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0049-392
x<0.99、0.01
Figure 108138722-A0305-02-0049-393
y
Figure 108138722-A0305-02-0049-394
0.7、及x+y=1)、及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0049-395
x<0.99、0.01
Figure 108138722-A0305-02-0049-396
y
Figure 108138722-A0305-02-0049-397
0.99、0.01
Figure 108138722-A0305-02-0049-398
z
Figure 108138722-A0305-02-0049-399
0.7、及x+y+z=1)。在上述或下列實施例的一或多者中,閘極介電層包括的鋁含量為約0.1原子%至6原子%。
根據本揭露的另一態樣,半導體元件包括通道層、設置於通道層上方的介面層、設置於介面層上方的閘極介電層,設置於閘極介電層上方的金屬氮化物層、設置於金屬氮化物層上方的防護層、設置於防護層上方的阻障層,及設置於阻障層上方的閘電極層。金屬氮化物層由TiN組成,及防護層由選自群組的一者組成,此群組由以下各者組成:SixNy(其中0.3
Figure 108138722-A0305-02-0049-400
x<0.75,0.25
Figure 108138722-A0305-02-0049-401
y
Figure 108138722-A0305-02-0049-402
0.7,及x+y=1)、Ti、TixCy(其中0.9
Figure 108138722-A0305-02-0049-403
x<0.99,0.01
Figure 108138722-A0305-02-0049-404
y
Figure 108138722-A0305-02-0049-405
0.1,及x+y=1)、TixCly(其中0.9
Figure 108138722-A0305-02-0049-406
x<0.99,0.01
Figure 108138722-A0305-02-0049-407
y
Figure 108138722-A0305-02-0049-408
0.1,及x+y=1)、矽化鈦、TixSiy(其中0.25
Figure 108138722-A0305-02-0049-409
x<0.99,0.01
Figure 108138722-A0305-02-0049-410
y
Figure 108138722-A0305-02-0049-411
0.75,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0049-412
x<0.99,0.01
Figure 108138722-A0305-02-0049-413
y
Figure 108138722-A0305-02-0049-414
0.7,及x+y=1),及SixTiyNz(其中0.01
Figure 108138722-A0305-02-0049-415
x<0.75,0.01
Figure 108138722-A0305-02-0049-416
y
Figure 108138722-A0305-02-0049-417
0.99,0.01
Figure 108138722-A0305-02-0049-418
z
Figure 108138722-A0305-02-0049-419
0.7,及x+y+z=1)。在上述或下列實施 例的一或多者中,金屬氮化物層的厚度範圍為0.3nm至30nm,防護層的厚度範圍為0.5nm至30nm,並且金屬氮化物層的厚度T1及防護層的厚度T2滿足0.05
Figure 108138722-A0305-02-0050-420
T2/(T1+T2)<0.85。在上述或下列實施例的一或多者中,防護層為部分結晶的或完全非晶的,並且防護層的結晶度百分比為0%至90%。在上述或下列實施例的一或多者中,防護層及閘極介電層包括氟,及閘極介電層中的氟含量小於金屬氮化物層中的氟含量及防護層中的氟含量。在上述或下列實施例的一或多者中,防護層包括的氟含量範圍在0.02原子%至75原子%,金屬氮化物層包括的氟含量範圍在0.02原子%至55原子%,及閘極介電層包括的氟含量範圍在0.01原子%至40原子%。在上述或下列實施例的一或多者中,半導體元件進一步包括由矽基絕緣材料組成並包括氟的閘極側壁間隔物。在上述或下列實施例的一或多者中,防護層、金屬氮化物層、閘極介電層不包括氟,或包括的氟含量小於0.6原子%。在上述或下列實施例的一或多者中,防護層由SiN組成。在上述或下列實施例的一或多者中,金屬氮化物層包括的氧量為1.5原子%至65原子%。在上述或下列實施例的一或多者中,在金屬氮化物層中,鍵合至氧的鈦原子的數目(Ti-O)與鍵合至氮的鈦的數目(Ti-N)的比率範圍為0.03至0.48。在上述或下列實施例的一或多者中,閘極介電層包括的鋁含量小於0.05原子%。
根據本揭露的另一態樣,半導體元件包括通道層、設置於通道層上方的介面層、設置於介面層上方的閘極 介電層,設置於閘極介電層上方的金屬氮化物層、設置於金屬氮化物層上方的阻障層、及設置於阻障層上方的閘電極層。金屬氮化物層由TiN組成。在上述或下列實施例的一或多者中,半導體元件進一步包括在金屬氮化物層的上表面上的互混層,此互混層藉由在金屬氮化物層上沉積防護層及從金屬氮化物層的上表面去除防護層而形成。防護層為選自以下各者組成的群組中的一者:Si、SixCy、SixCly(其中0.9
Figure 108138722-A0305-02-0051-421
x<0.99,0.01
Figure 108138722-A0305-02-0051-422
y
Figure 108138722-A0305-02-0051-423
0.1,及x+y=1)、SixNy(其中0.3
Figure 108138722-A0305-02-0051-424
x<0.99,0.01
Figure 108138722-A0305-02-0051-425
y
Figure 108138722-A0305-02-0051-426
0.7,及x+y=1)、Ti、TixCy、TixCly(其中0.9
Figure 108138722-A0305-02-0051-427
x<0.99,0.01
Figure 108138722-A0305-02-0051-428
y
Figure 108138722-A0305-02-0051-430
0.1,及x+y=1)、矽化鈦、TixSiy(其中0.01
Figure 108138722-A0305-02-0051-431
x<0.99,0.01
Figure 108138722-A0305-02-0051-432
y
Figure 108138722-A0305-02-0051-433
0.99,及x+y=1)、TixNy(其中0.3
Figure 108138722-A0305-02-0051-434
x<0.99,0.01
Figure 108138722-A0305-02-0051-435
y
Figure 108138722-A0305-02-0051-436
0.7,及x+y=1),SixTiyNz(其中0.01
Figure 108138722-A0305-02-0051-437
x<0.99,0.01
Figure 108138722-A0305-02-0051-438
y
Figure 108138722-A0305-02-0051-440
0.99,0.01
Figure 108138722-A0305-02-0051-441
z
Figure 108138722-A0305-02-0051-442
0.7,及x+y+z=1)。在上述或下列實施例的一或多者中,金屬氮化物層、防護層及閘極介電層包括氟,及閘極介電層中的氟含量小於金屬氮化物層中的氟含量。在上述或下列實施例的一或多者中,金屬氮化物層包括量範圍在0.02原子%至55原子%的氟,及閘極介電層包括量範圍在0.01原子%至40原子%的氟。在上述或下列實施例的一或多者中,金屬氮化物層的厚度範圍為0.3nm至30nm。在上述或下列實施例的一或多者中,金屬氮化物層包括的氧量為1.5原子%至65原子%。在上述或下列實施例的一或多者中,在金屬氮化物層中,鍵合至氧的鈦原子的數目與鍵合至氮的鈦的數目的比率範圍為0.03至約0.48。在上述或下列 實施例的一或多者中,閘極介電層包括的鋁含量為約0.1原子%至65原子%。在上述或下列實施例的一或多者中,半導體元件進一步包括由矽基絕緣材料組成並包括氟的閘極側壁間隔物。在上述或下列實施例的一或多者中,防護層、金屬氮化物層、閘極介電層不包括氟,或包括的氟含量小於0.6原子%。
上文概述若干實施例之特徵或實例,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本揭露所介紹之實施例或實例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離本揭露之精神及範疇的情況下產生本揭露的各種變化、替代及更改。
10:基板
20:鰭式結構
30:隔離絕緣層
42:虛設閘極介電層
46:閘極側壁間隔物
50:層
80:閘極堆疊
81:介面層
82:閘極介電層
83:第一導電層
84:防護層
86:第二導電層
87:功函數調整層
88:閘電極層

Claims (10)

  1. 一種製造一半導體元件的方法,包括以下步驟:在一通道區上方形成一介面層;在該介面層上方形成一閘極介電層;在該閘極介電層上方形成一第一導電層;在該第一導電層上方形成一防護層;在該防護層上方形成一覆蓋層;在形成該覆蓋層之後執行一第一退火操作;在該第一退火操作之後去除該覆蓋層;以及在去除該覆蓋層之後在該閘極介電層上方形成一閘電極層。
  2. 如請求項1所述的方法,中:該第一導電層由金屬氮化物組成,以及該第一導電層的一厚度範圍為0.3nm至30nm。
  3. 如請求項1所述的方法,其中:該第一導電層的一厚度T1及該防護層的一厚度T2滿足0.05
    Figure 108138722-A0305-02-0054-443
    T2/(T1+T2)
    Figure 108138722-A0305-02-0054-444
    0.85,以及該防護層的該厚度T2的範圍為0.5nm至30nm。
  4. 如請求項1所述的方法,進一步包括以下步驟:在形成該覆蓋層之前且在形成該防護層之後執行一第二退火操作。
  5. 如請求項1所述的方法,其中該防護層由SixTiyNz組成,其中0
    Figure 108138722-A0305-02-0055-445
    x<1、0
    Figure 108138722-A0305-02-0055-446
    y
    Figure 108138722-A0305-02-0055-447
    1、及0
    Figure 108138722-A0305-02-0055-448
    z
    Figure 108138722-A0305-02-0055-450
    0.7。
  6. 一種製造一半導體元件的方法,包括以下步驟:在一通道區上方形成一閘極介電層;在該閘極介電層上方形成一第一導電層;在該第一導電層上方形成一防護層;在形成該防護層之後執行一第一退火操作;執行一氟浸泡操作;在該防護層上方形成一覆蓋層;在形成該覆蓋層之後執行一第二退火操作;在該第二退火操作之後去除該覆蓋層;以及在去除該覆蓋層之後在該閘極介電層上方形成一閘電極層,其中在該第一退火操作之後去除該防護層。
  7. 如請求項6所述的方法,其中該第一導電層由TiN組成。
  8. 如請求項6所述的方法,其中:該第一退火操作的該退火溫度範圍為600℃至800℃,以及 該第二退火操作的該退火溫度範圍為900℃至1300℃。
  9. 一種半導體元件,包括:一通道層;一閘極介電層,設置於該通道層上方;一金屬氮化物層,設置於該閘極介電層上方;一防護層,設置於該金屬氮化物層上方;一閘電極層,包括設置於該防護層上方的一或多個功函數調整層及一或多個主體金屬閘電極層,其中:該金屬氮化物層由TiN組成,以及該防護層由選自一群組的一者組成,該群組由以下各者組成:SixNy(其中0.3
    Figure 108138722-A0305-02-0056-451
    x<0.75,0.25
    Figure 108138722-A0305-02-0056-452
    y
    Figure 108138722-A0305-02-0056-453
    0.7,及x+y=1)、Ti、TixCy(其中0.9
    Figure 108138722-A0305-02-0056-454
    x<0.99,0.01
    Figure 108138722-A0305-02-0056-455
    y
    Figure 108138722-A0305-02-0056-456
    0.1,及x+y=1)、TixCly(其中0.9
    Figure 108138722-A0305-02-0056-457
    x<0.99,0.01
    Figure 108138722-A0305-02-0056-458
    y
    Figure 108138722-A0305-02-0056-459
    0.1,及x+y=1)、矽化鈦、TixSiy(其中0.25
    Figure 108138722-A0305-02-0056-461
    x<0.99,0.01
    Figure 108138722-A0305-02-0056-462
    y
    Figure 108138722-A0305-02-0056-463
    0.75,及x+y=1)、TixNy(其中0.3
    Figure 108138722-A0305-02-0056-464
    x<0.99,0.01
    Figure 108138722-A0305-02-0056-465
    y
    Figure 108138722-A0305-02-0056-466
    0.7,及x+y=1),及SixTiyNz(其中0.01
    Figure 108138722-A0305-02-0056-467
    x<0.75,0.01
    Figure 108138722-A0305-02-0056-468
    y
    Figure 108138722-A0305-02-0056-469
    0.99,0.01
    Figure 108138722-A0305-02-0056-470
    z
    Figure 108138722-A0305-02-0056-471
    0.7,及x+y+z=1)。
  10. 如請求項9所述的半導體元件,其中該防護層包括的氟含量範圍在0.02原子%至75原子%。
TW108138722A 2018-10-30 2019-10-25 半導體元件製造方法及半導體元件 TWI718726B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753033P 2018-10-30 2018-10-30
US62/753,033 2018-10-30
US16/532,274 2019-08-05
US16/532,274 US11081584B2 (en) 2018-10-30 2019-08-05 Method of manufacturing semiconductor devices using a capping layer in forming gate electrode and semiconductor devices

Publications (2)

Publication Number Publication Date
TW202036686A TW202036686A (zh) 2020-10-01
TWI718726B true TWI718726B (zh) 2021-02-11

Family

ID=70327430

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108138722A TWI718726B (zh) 2018-10-30 2019-10-25 半導體元件製造方法及半導體元件

Country Status (5)

Country Link
US (2) US11081584B2 (zh)
KR (1) KR102288782B1 (zh)
CN (1) CN111128737B (zh)
DE (1) DE102019121722A1 (zh)
TW (1) TWI718726B (zh)

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10504797B2 (en) * 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11189616B2 (en) * 2019-09-17 2021-11-30 International Business Machines Corporation Multi-threshold voltage non-planar complementary metal-oxtde-semiconductor devices
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
US11430698B2 (en) 2020-05-19 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ formation of metal gate modulators
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
DE102020130401A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Dipolig-gefertigtes high-k-gate-dielektrikum und verfahren zu dessen bildung desselben
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11810960B2 (en) * 2020-07-31 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11380776B2 (en) 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor device with gate spacer structure
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11495463B2 (en) * 2020-10-27 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11688786B2 (en) 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN115394850A (zh) 2021-05-25 2022-11-25 联华电子股份有限公司 半导体装置以及其制作方法
KR102592701B1 (ko) * 2021-06-02 2023-10-23 삼성전자주식회사 반도체 소자 및 이를 포함하는 전력 변환 시스템
US20230029370A1 (en) * 2021-07-20 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with nanosheet transistors with metal gate passivation
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140070377A1 (en) * 2012-09-07 2014-03-13 United Microelectronics Corporation Compound semiconductor epitaxial structure and method for fabricating the same
US9634118B2 (en) * 2015-08-20 2017-04-25 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices
US9722030B1 (en) * 2016-05-12 2017-08-01 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
TW201732904A (zh) * 2015-12-15 2017-09-16 台灣積體電路製造股份有限公司 半導體裝置之製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
US8334197B2 (en) 2009-12-16 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating high-k/metal gate device
CN105990143B (zh) * 2015-02-04 2019-12-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
US9418853B1 (en) 2015-04-21 2016-08-16 United Microelectronics Corp. Method for forming a stacked layer structure
US9356125B1 (en) 2015-07-28 2016-05-31 United Microelectronics Corp. Manufacturing method of semiconductor structure
US9735061B1 (en) 2016-02-03 2017-08-15 Globalfoundries Inc. Methods to form multi threshold-voltage dual channel without channel doping
US9837507B1 (en) * 2016-09-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102238444B1 (ko) 2017-03-13 2021-04-09 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140070377A1 (en) * 2012-09-07 2014-03-13 United Microelectronics Corporation Compound semiconductor epitaxial structure and method for fabricating the same
US9634118B2 (en) * 2015-08-20 2017-04-25 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices
TW201732904A (zh) * 2015-12-15 2017-09-16 台灣積體電路製造股份有限公司 半導體裝置之製造方法
US9722030B1 (en) * 2016-05-12 2017-08-01 United Microelectronics Corp. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US20220059684A1 (en) 2022-02-24
CN111128737A (zh) 2020-05-08
KR102288782B1 (ko) 2021-08-12
US20200135915A1 (en) 2020-04-30
KR20200050402A (ko) 2020-05-11
TW202036686A (zh) 2020-10-01
DE102019121722A1 (de) 2020-04-30
CN111128737B (zh) 2024-04-12
US11081584B2 (en) 2021-08-03

Similar Documents

Publication Publication Date Title
TWI718726B (zh) 半導體元件製造方法及半導體元件
TWI797398B (zh) 製造半導體裝置的方法以及半導體裝置
US11251087B2 (en) Semiconductor device including a Fin-FET and method of manufacturing the same
US11908915B2 (en) Method of manufacturing semiconductor devices and semiconductor devices
US11978675B2 (en) Method of manufacturing semiconductor devices and semiconductor devices
CN109860275B (zh) 半导体器件及其制造方法
US20210351041A1 (en) Method of manufacturing semiconductor devices and semiconductor devices
US11784187B2 (en) Method of manufacturing semiconductor devices and semiconductor devices
US11211492B2 (en) Method of manufacturing semiconductor devices having a SiGe epitaxtial layer containing Ga
US20220352160A1 (en) Method of manufacturing semiconductor devices and semiconductor devices