TW201732904A - 半導體裝置之製造方法 - Google Patents
半導體裝置之製造方法 Download PDFInfo
- Publication number
- TW201732904A TW201732904A TW105139209A TW105139209A TW201732904A TW 201732904 A TW201732904 A TW 201732904A TW 105139209 A TW105139209 A TW 105139209A TW 105139209 A TW105139209 A TW 105139209A TW 201732904 A TW201732904 A TW 201732904A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- fluorine
- barrier metal
- metal layer
- gate stack
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims description 61
- 238000004519 manufacturing process Methods 0.000 title claims description 16
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 124
- 229910052751 metal Inorganic materials 0.000 claims abstract description 122
- 239000002184 metal Substances 0.000 claims abstract description 122
- 239000011737 fluorine Substances 0.000 claims abstract description 116
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 115
- 230000004888 barrier function Effects 0.000 claims abstract description 99
- 125000001153 fluoro group Chemical group F* 0.000 claims abstract description 55
- 239000000758 substrate Substances 0.000 claims abstract description 35
- 238000000137 annealing Methods 0.000 claims description 34
- 238000000151 deposition Methods 0.000 claims description 15
- 238000000034 method Methods 0.000 abstract description 216
- 230000008569 process Effects 0.000 description 167
- 230000007547 defect Effects 0.000 description 23
- 230000005669 field effect Effects 0.000 description 23
- 238000004151 rapid thermal annealing Methods 0.000 description 20
- 239000007789 gas Substances 0.000 description 19
- 239000000463 material Substances 0.000 description 18
- 229910052732 germanium Inorganic materials 0.000 description 14
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 14
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 13
- 238000005229 chemical vapour deposition Methods 0.000 description 13
- 238000009826 distribution Methods 0.000 description 12
- 238000003682 fluorination reaction Methods 0.000 description 12
- 238000005468 ion implantation Methods 0.000 description 12
- 230000015572 biosynthetic process Effects 0.000 description 11
- 239000003989 dielectric material Substances 0.000 description 11
- 238000000026 X-ray photoelectron spectrum Methods 0.000 description 9
- 230000008021 deposition Effects 0.000 description 9
- 230000006870 function Effects 0.000 description 9
- 230000008901 benefit Effects 0.000 description 8
- 239000007943 implant Substances 0.000 description 8
- 238000011065 in-situ storage Methods 0.000 description 8
- 238000002955 isolation Methods 0.000 description 8
- 125000004429 atom Chemical group 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 6
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 6
- 230000003647 oxidation Effects 0.000 description 6
- 238000007254 oxidation reaction Methods 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 238000001228 spectrum Methods 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- 229910044991 metal oxide Inorganic materials 0.000 description 5
- 150000004706 metal oxides Chemical class 0.000 description 5
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 5
- 229920005591 polysilicon Polymers 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 229910004129 HfSiO Inorganic materials 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 239000007769 metal material Substances 0.000 description 4
- 229910052707 ruthenium Inorganic materials 0.000 description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 4
- -1 LaSiO Inorganic materials 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- 230000000295 complement effect Effects 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 3
- 238000001465 metallisation Methods 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 229910010413 TiO 2 Inorganic materials 0.000 description 2
- 229910006501 ZrSiO Inorganic materials 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium dioxide Chemical compound O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 2
- 238000010348 incorporation Methods 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- 229910001936 tantalum oxide Inorganic materials 0.000 description 2
- KHPNGCXABLTQFJ-UHFFFAOYSA-N 1,1,1-trichlorodecane Chemical compound CCCCCCCCCC(Cl)(Cl)Cl KHPNGCXABLTQFJ-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 1
- 230000005465 channeling Effects 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 238000011066 ex-situ storage Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229940119177 germanium dioxide Drugs 0.000 description 1
- GPMBECJIPQBCKI-UHFFFAOYSA-N germanium telluride Chemical compound [Te]=[Ge]=[Te] GPMBECJIPQBCKI-UHFFFAOYSA-N 0.000 description 1
- ZPPUVHMHXRANPA-UHFFFAOYSA-N germanium titanium Chemical compound [Ti].[Ge] ZPPUVHMHXRANPA-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- 238000005224 laser annealing Methods 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000035755 proliferation Effects 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229910052702 rhenium Inorganic materials 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000005728 strengthening Methods 0.000 description 1
- 239000000725 suspension Substances 0.000 description 1
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000001947 vapour-phase growth Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28097—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28176—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32051—Deposition of metallic or metal-silicide layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32055—Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3215—Doping the layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0684—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
- H01L29/0688—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions characterised by the particular shape of a junction between semiconductor regions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42356—Disposition, e.g. buried gate electrode
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
- H01L29/4975—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Composite Materials (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Crystallography & Structural Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
一種順應性摻雜鰭式場效電晶體(FinFET)的方法及結構包括形成一閘極堆疊,以至少局部位於延伸自一基底的一鰭部上方。在各個不同的實施例中,一阻障金屬層沉積於閘極堆疊上方。進行一熱氟處理(thermal fluorine treatment),其形成一氟化層於阻障金屬層內,且氟化層具有複數個氟原子。在一些實施例中,在形成氟化層之後,進行退火以驅入至少一些的氟原子於閘極堆疊內(驅入界面層及高介電常數介電層),藉以利用上述至少一些的氟原子順應性摻雜閘極堆疊。
Description
本發明實施例係關於一種半導體技術,且特別是關於一種具摻雜的半導體裝置及其製造方法。
電子工業的進展來到不斷增長又小又快速的電子裝置的需求,這些電子裝置同時能夠支援大幅增加的複雜及尖端功能。因此,半導體工業持續不斷趨向製造出低成本、高效能以及低功率積體電路(IC)。迄今,這些目標大部分透過微縮半導體尺寸(例如,最小特徵部件尺寸)而實現,進而改善生產效率及降低相關成本。然而,上述微縮也增加半導體製程的複雜性。因此,半導體積體電路及裝置的持續推進,對於半導體製程及技術也需要有相似的推進。
近來,已嘗試採用多閘極裝置,以透過增加閘極通道耦合、降低閉態(OFF-state)電流及降低短通道效應(short-channel effect,SCE)來改善閘極控制。已被採用的其中一種多閘極裝置為鰭式場效電晶體(fin field-effect transistor,FinFET)。鰭式場效電晶體的名稱取自於類鰭結構延伸自一基底而形成於其上,且類鰭結構用以形成場效電晶體的通道。鰭式場效電晶體相容於傳統的互補式金屬-氧化物-半導體(CMOS)製程,且本身的三維結構容許大幅微縮同時維
持閘極控制並減輕短通道效應。另外,已嘗試採用高介電常數(high-K)介電材料,以降低閘極氧化物漏電流同時維持所需的閘極電容值。然而,高介電常數介電材料遭受高密度界面及體缺陷,其增加載子散射、降低遷移率及降低汲極電流。對於降低缺陷密度的嘗試至少包括加入氟。舉例來說,其有效鈍化界面懸浮鍵(interfacial dangling bond)及體氧空孔缺陷(bulk oxygen vacancy),進而降低氧化物漏電流、改善起始電壓穩定性以及改善裝置效能。對於平面裝置的製造,可透過離子佈植製程而加入氟。然而,試圖透過離子佈植製程而加入氟於鰭式場效電晶體卻會損害鰭式場效電晶體的鰭部且無法有效將氟均勻地佈滿鰭式場效電晶體的鰭部的整個三維形貌。因此,現有技術尚未能夠達到全面性的滿足。
根據一些實施例,本揭露提供一種半導體裝置之製造方法。上述方法包括形成一閘極堆疊以至少局部位於延伸自一基底的一鰭部上方。沉積一阻障金屬層於閘極堆疊上方。進行一熱氟處理,其中熱氟處理形成一氟化層於阻障金屬層內,且其中氟化層具有複數個氟原子。在形成氟化層之後,進行退火以驅入至少一些的氟原子於閘極堆疊內,藉以利用上述至少一些的氟原子順應性摻雜閘極堆疊。
根據一些實施例,本揭露提供一種半導體裝置之製造方法。上述方法包括形成一界面層於延伸自一基底的一鰭部上方以及形成一閘極介電層於界面層上方。沉積一阻障金屬層於閘極介電層上方。進行一熱氟處理製程,其中熱氟處理製
程包括:在製程溫度實質上相同於熱氟處理製程的溫度下,將氟(F2)氣體流經阻障金屬層上方以及因應於熱氟處理製程的溫度下將氟(F2)氣體流經阻障金屬層上方,引入複數個氟原子至阻障金屬層的一第一部,以形成氟化的阻障金屬層。進行一快速熱退火(RTA)製程,以驅入至少一些氟原子於界面層及閘極介電層內。
根據一些實施例,本揭露提供一種半導體裝置,包括:一基底,具有一鰭部;一界面層,具有一上界面部形成於鰭部的頂部上以及一側界面部形成於鰭部的側部上;一高介電常數介電層,具有一上高介電常數部形成於上界面部上以及一側高介電常數部形成於側界面部上;以及一阻障金屬層形成於高介電常數介電層上方。上界面部及側界面部具有實質上相同的氟原子濃度,且上高介電常數部及側高介電常數部具有實質上相同的氟原子濃度。
100、200‧‧‧鰭式場效電晶體(FinFET)裝置
104、504、FIN‧‧‧鰭部件
105‧‧‧源極區
106、204‧‧‧隔離區
107‧‧‧汲極區
108‧‧‧閘極結構
110‧‧‧界面層
112‧‧‧閘極介電層
114‧‧‧金屬層
202‧‧‧鰭部
206、506‧‧‧閘極堆疊
208‧‧‧蓋層
210‧‧‧氟佈植
212、214‧‧‧側部
302、306‧‧‧鰭部頂部剖面分佈
304、308‧‧‧鰭部側壁剖面分佈
400‧‧‧方法
402、404、406、408、410、412‧‧‧區塊
500‧‧‧半導體裝置
502‧‧‧基底
506A‧‧‧高介電常數閘極堆疊
508、TSN‧‧‧阻障金屬層
508A‧‧‧順應性的氟化阻障金屬層
508B‧‧‧氟化的阻障金屬層
510‧‧‧熱氟處理
512、FSI‧‧‧氟化的蓋層
514‧‧‧後蓋層退火(PCA)製程
515‧‧‧箱形虛線
602‧‧‧氟原子
604、606、608‧‧‧界面
702‧‧‧製程設計圖
704‧‧‧第一部
706‧‧‧第二部
802、802F‧‧‧F1s XPS能譜
804、804F‧‧‧Hf4f XPS能譜
806、802F‧‧‧Si2p XPS能譜
CSI‧‧‧CVD沉積-矽(或多晶矽)層
HK‧‧‧高介電常數介電層
IL‧‧‧界面層
TOP、SW‧‧‧方向
第1圖係繪示出根據本揭露一或多個型態之鰭式場效電晶體裝置實施例之立體示意圖。
第2圖係繪示出具有植入氟摻雜物之鰭式場效電晶體裝置剖面示意圖。
第3A圖係繪示出模擬的氟剖面分佈,其為氟原子百分比與深度關係,且包括鰭式場效電晶體的鰭部頂部剖面分佈及鰭式場效電晶體的鰭部側壁剖面分佈。
第3B圖係繪示出模擬的損害剖面分佈,其為空孔數量與深
度關係,且包括鰭式場效電晶體的鰭部頂部剖面分佈及鰭式場效電晶體的鰭部側壁剖面分佈。
第4圖係繪示出根據本揭露一或多個型態之製造鰭式場效電晶體裝置的方法流程圖。
第5A、5B、5C及5D圖係繪示出對應第4圖的方法的一或多個步驟的鰭式場效電晶體裝置實施例之剖面示意圖,且實質上相似於第1圖中BB’截面。
第6A、6B、6C、6D及6E圖係繪示出對應第4圖的方法的一或多個步驟的鰭式場效電晶體裝置實施例之放大剖面示意圖,且實質上相似於第1圖中BB’截面。
第7圖係繪示出根據本揭露一或多個型態之製造鰭式場效電晶體裝置的方法的製程設計圖。
第8A、8B及8C圖係繪示出根據一些實施例之X射線光電子能譜儀(X-ray photoelectron spectroscopy,XPS)的能譜圖,顯示出加入氟的前後表面化學的型態。
可理解的是以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化本揭露內容。當然,這些僅為範例說明並非用以限定本發明。舉例來說,若是以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵部件形成於上述第一特徵部件與上述第二特徵
部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。另外,本揭露內容在各個不同範例中會重複標號及/或文字。重複是為了達到簡化及明確目的,而非自行指定所探討的各個不同實施例及/或配置之間的關係。
再者,在空間上的相關用語,例如”之下”、”下方”、”下”、”上方”、”上”等等在此處係用以容易表達出本說明書中所繪示的圖式中元件或特徵部件與另外的元件或特徵部件的關係。這些空間上的相關用語除了涵蓋圖式所繪示的方位外,還涵蓋裝置於使用或操作中的不同方位。此裝置可具有不同方位(旋轉90度或其他方位)且此處所使用的空間上的相關符號同樣有相應的解釋。
需注意的是本揭露所揭示的實施例為多閘極電晶體或鰭式多閘極電晶體(此處稱作鰭式場效電晶體(FinFET))裝置。上述裝置可包括P型金屬氧化物半導體FinFET裝置或N型金屬氧化物半導體FinFET裝置。FinFET裝置可為雙閘極裝置、三閘極裝置、塊體(bulk)裝置、絕緣層覆矽(silicon-on-insulator,SOI)裝置及/或其他配置。本領域具有通常知識者可理解其他實施例之半導體裝置可受益於本揭露的型態。舉例來說,此處所述的一些實施例中也可應用於環繞式閘極(gate-all-round,GAA)裝置、Ω閘極裝置或Π閘極裝置。
第1圖係繪示出FinFET裝置100。FinFET裝置100包括一或多個以鰭作為基礎的多閘極場效電晶體。FinFET裝置100包括一基底102、延伸自基底102的至少一鰭部件104、隔離區106以及設置於鰭部件104上方且圍繞鰭部件104的閘極結構
108。基底102可為一半導體基底,例如矽基底。基底可包括各種不同的膜層,包括形成於半導體基底上的導電或絕緣層。基底可包括不同的摻雜配置,取決於所熟習的設計需求。基底也可包括其他半導體,例如鍺、碳化矽(SiC)、鍺化矽(SiGe)或鑽石。另外,基底可包括化合物半導體及/或合金半導體。再者,在一些實施例中,基底可包括磊晶(epi)層;基底可施加應變以強化效能;基底可包括絕緣層覆矽(SOI)結構及/或基底可具有其他適合的強化特徵部件。
鰭部件104如基底102一般,可包括矽或其他元素半導體(例如,鍺);化合物半導體(包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦);合金半導體(包括鍺化矽(SiGe)、磷砷化鎵(GaAsP)、砷銦化鋁(AlInAs)、砷鎵化鋁(AlGaAs)、砷鎵化銦(InGaAs)、磷銦化鎵(GaInP)及/或磷砷銦化鎵(GaInAsP));或其組合。可利用適合製程來製造鰭部件104,包括光學微影製程及蝕刻製程。光學微影製程可包括形成一光阻層(阻劑)於基底上方(例如,於矽層上方)、將阻劑曝光形成一圖案、進行後曝烤製程以及對阻劑進行顯影,以形成包括阻劑的罩幕圖案。在一些實施例中,可利用電子束(e-beam)微影製程來進行圖案化阻劑而形成罩幕部件。接著利用罩幕部件來保護基底的區域,而蝕刻製程位於矽層內的凹口,藉以留下延伸的鰭部件104。可利用乾蝕刻(例如,化學氧化去除)、濕蝕刻及/或其他適合的製程形成凹口。也可使用許多種方法實施例來形成為於基底102上的鰭部件104。
每一鰭部件104也包括一源極區105及一汲極區107,其中源極區105及汲極區107形成於鰭部件104內部或上方及/或圍繞鰭部件104。可於鰭部件104上方磊晶成長源極區105及汲極區107。電晶體的一通道區設置於鰭部件104內、位於閘極結構108下方且沿著一平面,其實質上平行於第1圖中截面BB’所定義出的平面。在一些範例中,鰭部的通道區包括高遷移率材料,例如鍺,還有上述的任何化合物半導體或合金半導體及/或其組合。包括這些材料的高遷移率材料具有一電子遷移率大於矽。舉例來說,室溫(300K)下大於矽的本質(intrinsic)電子遷移率約為1350cm2/V-s,且電洞遷移率約為480cm2/V-s。
隔離區106可為淺溝槽隔離(shallow trench iso1ation,STI)特徵部件。另外,場氧化物或局部矽氧化(LOCOS)特徵部件及/或其他適合的隔離特徵部件可實施於底102上方及/或內部。隔離區106可由氧化矽、氮化矽、氮氧化矽、氟摻雜矽玻璃(FSG)、低介電常數介電層、其組合及/或其他適合的習知材料所構成。在一實施例中,隔離結構為STI特徵部件且透過在基底102內蝕刻溝槽而形成。接著於溝槽內填入隔離材料並接著進行化學機械研磨(chemical mechanical polishing,CMP)製程。然而,其他實施例也是可行的。在一些實施例中,隔離區106可包括多層結構,例如具有一或多個襯層。
閘極結構108包括一閘極堆疊,具有一界面層110形成於鰭部件104的通道區上方;一閘極介電層112形成於界面
層110上方;以及一金屬層114形成於閘極介電層112上方。界面層110可包括一介電材料,例如氧化矽(SiO2)或但氧化矽(SiON)。界面層110可由化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD及/或其他適合方法而形成。閘極介電層112可包括高介電常數介電材料,例如二氧化鉿(HfO2)。另外,高介電常數介電材料可包括其他高介電常數介電材料,例如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、其組合或其他適合材料。又在其他實施例中,閘極介電層可包括二氧化矽或其他適合的介電材料。介電層可由ALD、物理氣相沉積(physical vapor depositin,PVD)、氧化法及/或其他適合方法形成。金屬層114可包括一導電材料,例如W、TiN、TaN、WN、Re、Ir、Ru、Mo、Al、Cu、Co、Ni、其組合或其他適合組成。在一些實施例中,金屬層114可包括用於N型FinFET的一第一金屬材料及用於P型FinFET的一第二金屬材料。因此FinFET裝置100可包括雙功函數金屬閘極配置。舉例來說,第一金屬材料(例如用於N型裝置)可包括金屬,其具有功函數實質上對準於基底導電帶的功函數或至少實質上對準於鰭部件104的通道區的導電帶的功函數。相似地,舉例來說,第二金屬材料(例如用於P型裝置)可包括金屬,其具有功函數實質上對準於基底價電帶的功函數或至少實質上對準於鰭部件104的通道區的價電帶的功函數。因此,金屬層114可作為FinFET裝置100(包括N型及P型兩種FinFET裝置)的閘極電極。在一些實施例中,金屬層114可換成多晶矽層。金屬層114可利用PVD、CVD、電
子束(e-beam)蒸鍍及/或其他適合製程而形成。在一些實施例中,側壁間隙壁形成於閘極結構108的側壁上。側壁間隙壁可包括一介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽或其組合。
在各個不同的實施例中,如以下更詳細的說明,可在形成金屬層114之前進行一氟處理(fluorine treatment)。此處所述的氟處理方法實施例可包括在形成金屬層114之前進行額外膜層的沉積、去除或其他製程。舉例來說,在一些情形中,氮化鈦矽(TiSiN)層可形成於閘極介電層112上方並可選擇性進行一退火製程。之後,可利用一熱氟處理製程形成氟化的TiSiN層。在一些實施例中,一蓋層形成於氟化的TiSiN上方,且進行退火,以將氟原子驅入界面層110及閘極介電層112內,藉以鈍化界面層110及閘極介電層112每一者的界面及/或體缺陷。在各個不同的範例中,可接著去除蓋層,且金屬層114可形成於氟化的TiSiN上方。
氟已顯示出用於降低缺陷密度,舉例來說,透過界面懸浮鍵及體氧空孔缺陷的鈍化,進而降低氧化物漏電流、改善起始電壓穩定性以及改善裝置效能。然而,在至少一些現有製程中,係透過離子佈植將氟加入。透過離子佈植將氟加入FinFET會損害FinFET的鰭部,進而降低FinFET效能。再者,氟離子佈植於FinFET裝置無法有效將氟均勻地佈滿FinFET的鰭部的整個三維形貌。
上述使用離子佈植將氟加入於FinFET結構的缺點繪示於第2及3A/3B圖。第2圖係繪示出FinFET裝置200的剖面示
意圖,其包括鰭部202(例如,延伸自一基底)及隔離區204。FinFET裝置200也可包括一閘極堆疊206形成於鰭部202上方以及一蓋層208在氟佈植210之前形成於閘極堆疊206上方。
在至少一些習知製程中,進行的氟佈植210的角度約7-8°,同時旋轉FinFET裝置200以防止離子佈植的通道效應(channeling)。即使旋轉FinFET裝置200,斜角(angled)離子佈植也會造成遮蔽效應(shadowing effect)(例如,由於FinFET裝置200的三維形貌)。舉例來說,請參照第2圖,FinFET裝置200的側部212及214會在進行氟佈植210期間被遮蔽而無法接收到氟佈植210的充分劑量。因此,無法有效地沿著FinFET裝置200的側部212及214進行閘極堆疊206內的缺陷減量。為了進一步說明非順應性的氟佈植210,第3A圖提供了模擬的氟剖面分佈,其為氟原子百分比與深度(例如,進入FinFET裝置200)關係,且包括FinFET的鰭部頂部剖面分佈302(沿著第2圖的’TOP’方向)及FinFET的鰭部側壁剖面分佈304(沿著第2圖的’SW’方向)。表示閘極堆疊206、蓋層208及鰭部202的區域也繪示於第3A圖。如圖內所示,沿方向’SW’的氟劑量(即,氟原子百分比)(表示為剖面分佈304)小於沿方向’TOP’的氟劑量(表示為剖面分佈302)10倍。
另外,氟佈植210可能會因離子佈植的高能量而損害晶格結構(例如,閘極堆疊206及鰭部202的晶格結構)。第3B圖係繪示出模擬的損害剖面分佈,其為空孔數量(每cm2)與深度關係,且包括FinFET的鰭部頂部剖面分佈306(沿著第2圖的’TOP’方向)及FinFET的鰭部側壁剖面分佈308(沿著第2
圖的’SW’方向)。如圖所示,沿方向’TOP’的損害(空孔的數量)(表示為剖面分佈306)較高,其更直接地暴露於氟佈植210。儘管進行高溫退火以嘗試去除上述離子佈植的損害,受離子佈植的材料的晶體結構不會完全復原成前佈植狀態。因此,利用上述離子佈植製造的FinFET裝置的鰭部可預期具有退化的物理及電子特性。
本揭露的實施例提供優於現有技藝的優點,然而可理解的是其他實施例也可提供不同的優點,此處並未說明所有的優點,且沒有特定的優點是所有實施例所需具備的。舉例來說,此處所述的實施例包括提供順應性摻雜FinFET的鰭部結構的方法及結構,例如透過熱處理製程,避免離子佈植所造成的損害,並提供順應性摻雜三維FinFET的鰭部結構。在一些實施例中,此處所使用的”順應性摻雜(conformal doping)”是用以說明摻雜層形成於FinFET的鰭部(例如,界面層、高介電常數層及/或金屬阻障層),其中位於FinFET的鰭部頂部上的膜層的摻雜濃度實質上相同於位於FinFET的鰭部側部上的膜層的摻雜濃度。在一些實施例中,在形成FinFET的閘極堆疊介電層之後,且在形成閘極金屬層之前,可進行氟處理。舉例來說,在一些實施例中,一氮化鈦矽(TiSiN)層可形成於閘極堆疊介電層上方。在一些範例中,接著進行一原位(in situ)後金屬化退火(post metallization anneal,PMA)。之後,在各個不同的實施例中,可進行一熱氟處理製程,使一部分的TiSiN層被氟化而形成一氟化的TiSiN層。在一些實施例中,接著形成一蓋層於氟化的TiSiN層上方。在各個不同的實施例中,進
行一後蓋層退火(post capping anneal,PCA)以將氟原子驅入FinFET的閘極堆疊介電層內,藉以鈍化構成FinFET的閘極堆疊介電層的膜層的界面及/或體缺陷。在各個不同的實施例中,在進行後蓋層退火(PCA)之後,去除蓋層且可形成FinFET的閘極金屬層。
現請參照第4圖,其繪示出包括FinFET裝置半導體裝置的製造方法400。方法400可用於實施以鰭作為基礎的半導體裝置,其包括提供順應性摻雜三維FinFET的鰭部結構的方法。在一些實施例中,方法400可用於製造第1圖所示的FinFET裝置100。因此,對照FinFET裝置100所述的一或多個型態可應用於方法400。另外,第5A-5D圖及第6A-6E圖為根據第4圖的方法400的一或多個步驟所製造的例示性半導體裝置500的剖面示意圖。
可理解的是部分的方法400及/或半導體裝置500可透過習知的互補式金屬氧化物半導體(CMOS)技術製程來製造,因而此處一些製程僅作出簡要說明。另外,如上所述,半導體裝置500與FinFET裝置100有共同的型態,因而可理解為了達到清楚目的,半導體裝置500的一些型態及/或製程在此處僅作出簡要說明。再者,半導體裝置500可包括不同的其他裝置及特徵部件,例如額外的電晶體、雙極接面電晶體、電阻器、電容器、二極體、熔絲等等,但為了更理解本揭露的發明概念而簡化說明。再者,在一些實施例中,半導體裝置500包括複數個半導體裝置(例如,電晶體),半導體裝置可內連接。
在各個不同實施例中,半導體裝置500可為一中間
裝置而於積體電路製程或部分製程期間所製造,且可包括靜態隨機存取記憶體(static random access memory,SRAM)及/或其他邏輯電路、被動部件(例如,電阻器、電容器及電感器)以及主動部件(例如,P型通道場效電晶體(PFET)、N型通道場效電晶體(NFET)、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體、其他記憶體及/或其組合)。
現請參照方法400,方法400始於區塊402,形成一高介電常數閘極堆疊。如以下所示,”高介電常數閘極堆疊”之用語係用以說明高介電常數閘極介電層形成於一界面層上方。請參照第5A圖範例及區塊402的實施例,其為一半導體裝置500的結構,包括鰭部件504延伸自一基底502。基底502及鰭部件504可實質上相似於上述對照第1圖的FinFET裝置100所示的基底102及鰭部件104。在一些實施例中,高介電常數閘極堆疊506形成於半導體裝置500上且局部位於鰭部件504上。舉例來說,高介電常數閘極堆疊506可包括一界面層及形成於界面層上的高介電常數介電層。此處所述所使用的高介電常數介電層包括高介電常數的介電材料,例如大於熱氧化矽(~3.9)。另外,高介電常數的介電材料的製作包括沉積製程以形成不同的閘極堆疊材料及一或多道CMP製程以去除多餘的閘極堆疊材料,因而平坦化半導體裝置500的上表面。
在一些實施例中,高介電常數閘極堆疊506的界面層可實質上相似於上述對照第1圖的FinFET裝置100所示的界
面層110。因此,在一些範例中,高介電常數閘極堆疊506的界面層可包括一介電材料,例如SiO2、HfSiO或SiON。在各個不同的實施例中,高介電常數閘極堆疊506的高介電常數介電層可實質上相似於上述對照第1圖的FinFET裝置100所示的閘極介電層112。因此,在各個不同的範例中,高介電常數閘極堆疊506的高介電常數介電層可包括一介電層,例如HfO2、TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化矽(SiON)、其組合或其他適合的材料。高介電常數閘極堆疊506的界面層及高介電常數介電層的每一者可透過ALD、PVD、CVD、化學氧化、熱氧化及/或其他適合方法而形成。
方法400接著進行至區塊404,一阻障金屬層形成於高介電常數閘極堆疊506上方。請再參照第5A圖的範例及區塊404的實施例,一阻障金屬層508形成於高介電常數閘極堆疊506上方。在一些實施例中,阻障金屬層508可包括氮化鈦矽(TiSiN)。在各個不同實施例中,其他材料,例如氮化鈦(TiN)、氮化鉭矽(TaSiN)、氮化鉭(TaN)及/或其組合也可用於形成阻障金屬層508。舉例來說,阻障金屬層508可透過ALD、CVD、PVD及/或任何適合方法而於一適合的抽真空製程反應室內進行沉積而成。在區塊404的另一實施例中,在形成阻障金屬層508之後,可選擇性進行一退火製程。舉例來說,上述退火製程可包括一原位退火製程。舉例來說,此處所述的
原位退火製程可包括退火製程進行於與用以沉積阻障金屬層508相同的製程反應室,同時維持製程反應室內抽真空。在一些情形中,原位退火製程可包括將半島裝置500傳送至另一製程反應室,其流體連接至用以沉積阻障金屬層508的製程反應室,同時維持製程反應室內抽真空,且原位退火製程進行於該流體連接的另一製程反應室。在一些實施例中,原位退火製可包括後金屬化退火(PMA)製程。在各個不同實施例中,上述PMA製程可包括快速熱退火(rapid thermal anneal,RTA)製程,例如尖峰退火(spike anneal)、脈衝退火(impulse anneal)、雷射退火(laser anneal)或閃光輔助退火(flash-assist anneal)。在一些實施例中,PMA製程的進行溫度約在800-1500℃的範圍。在各個不同範例中,用於實施PMA製程的RTA製程的持續時間約在1毫秒至數十秒的範圍。在一些實施例中,用於實施PMA製程的RTA製程的持續時間約在1-5秒的範圍。另外,在各個不同實施例中,原位退火製程可包括PMA製程,其利用一形成氣體,例如氫氣、氮氣、氬氣及/或其他適合的氣體。需注意的是用於實施PMA製程的RTA製程有助於精確的製程溫度及時間控制。
方法400接著進行至區塊406,進行一熱氟處理。請參照第5B圖的範例及區塊406的實施例,對半導體裝置500進行一熱氟處理510。在一些實施例中,如第5B圖所示,熱氟處理510包括將氟(F2)氣體流經於半導體裝置500上方。在一些實施例中,氟氣體的流速約在0.3-1.0SLM(每分鐘標準立升)的範圍。在各個不同範例中,熱氟處理510進行溫度約在
250-300℃的範圍。在一些實施例中,熱氟處理510的持續時間約在8-10分鐘的範圍。此處,熱氟處理510的持續時間包括氟氣體流經於半導體裝置500上方的時間。然而,在一些實施例中,熱氟處理510的持續時間可包括抽氣時間、清理(purging)時間及/或其他週期時間,如以下第7圖的詳細說明。舉例來說,熱氟處理510可使用TELINDY®或TELINDY PLUS®熱製程系統來進行,其購自於日本東京的東京威力科創股份有限公司(Tokyo Electron Limited)。
如此一來,熱氟處理510使一部分的阻障金屬層508被氟化而形成順應性的氟化阻障金屬層508A,如第5B圖所示。在一些實施例中,例如當阻障金屬層508含TiSiN,順應性的氟化阻障金屬層508A為氟化的TiSiN(TiSiNF)。在各個不同實施例中,當利用其他材料(例如,TiN、TaSiN或TaN)來形成阻障金屬層508,順應性的氟化阻障金屬層508A為氟化的TiN(TiNF)、氟化的TaSiN(TaSiNF)或氟化的TaN(TaNF)。儘管已給出順應性的氟化阻障金屬層508A的一些範例,任何所屬技術領域中具有通常知識者持有本揭露後應瞭解到其他阻障金屬層可用於形成其他的氟化阻障金屬層(例如透過熱氟處理510的方式),同時涵蓋於本揭露的範圍內。需注意的是順應性的氟化阻障金屬層508A可預期包含氟原子,其實質上均勻地分佈於整個順應性的氟化阻障金屬層508A。因此,如以下的詳細說明,為了利用擴散氟原子的方式,順應性地/均勻地鈍化高介電常數閘極堆疊506的界面及/或體缺陷,上述順應性且均勻分佈的氟原子可趨入(例如,擴散進入)於高介電常數閘
極堆疊506內(例如接著進行後續退火製程)。
接著方法400進行至區塊408,形成一蓋層。請參照第5C圖及區塊408的實施例,一蓋層512形成於順應性的氟化阻障金屬層508A上方。在一些實施例中,蓋層512可包括一矽(Si)層、一介電層(例如,SiO2)、一氮化層(例如,Si3N4)及/或其他適合材料。在各個不同的實施例中,蓋層可透過原位或非原位(ex-situ)製程而形成。舉例來說,蓋層512可透過ALD製程、CVD製程、PVD製程及/或另一適合製程進行沉積。在至少一些實施例中,利用蓋層512可有助於減輕氟自順應性的氟化阻障金屬層508釋氣(例如,於後續退火製程期間)。舉例來說,可在熱氟處理510之後直接進行蓋層512的製作(例如,半導體裝置500未從製程系統中卸載),其中熱氟處理510及蓋層512的製作兩者使用相同的製程系統。因此,在一些實施例中,可使用TELINDY®或TELINDY PLUS®熱製程系統(其購自於日本東京的東京威力科創股份有限公司(Tokyo Electron Limited))來進行蓋層512的製作。
接著方法400進行至區塊410,進行一後蓋層退火(PCA)製程。請再參照第5C圖及區塊410的實施例,進行後蓋層退火(PCA)製程,如箭號514所示。在各個不同實施例中,PCA製程514可包括一RTA製程(例如,相似於上述用於PMA製程的RTA製程),其可包括尖峰退火、脈衝退火、雷射退火或閃光輔助退火。在一些實施例中,PCA製程514的進行溫度約在800-1500℃的範圍。在各個不同範例中,用於實施PCA製程514的RTA製程的持續時間約在1毫秒至數十秒的範圍。在
一些實施例中,用於實施PCA製程514的RTA製程的持續時間約在1-5秒的範圍。另外,在各個不同實施例中,PCA製程514可利用一形成氣體,例如氫氣、氮氣、氬氣及/或其他適合的氣體。需注意的是用於實施PCA製程514的RTA製程有助於精確的製程溫度及時間控制。在至少一些範例中,上述RTA製程可額外用於自一材料層移動及/或驅動摻雜物至另一膜層,或自一特定材料層至一晶圓基底。舉例來說,在一些實施例中,用於實施PCA製程514的RTA製程可用以將氟原子自順應性的氟化阻障金屬層508A趨入阻障金屬層508及高介電常數閘極堆疊506內。在各個不同的實施例中,可調整PCA製程514,使大多數的氟原子趨入高介電常數閘極堆疊506內。再者,氟原子的趨入(其順應性地/均勻地分佈於整個順應性的氟化阻障金屬層508A)可提供順應性氟摻雜高介電常數閘極堆疊506,藉以利用擴散氟原子的方式有效鈍化高介電常數閘極堆疊506的界面及/或體缺陷。
接著方法400進行至區塊412,去除蓋層。請參照第5D圖及區塊412的實施例,可去除蓋層512(第5C圖)。舉例來說,可透過選擇性乾蝕刻製程(例如,RIE或ICP)、選擇性濕蝕刻製程或其他適合製程去除蓋層512。在各個不同實施例中,用於去除蓋層512的蝕刻製程可配置成停止於順應性的氟化阻障金屬層508A或阻障金屬層508上。如第5D圖所示,在進行PCA製程514之後,阻障金屬層508(第5C圖)可至少局部氟化而形成氟化的阻障金屬層508B。相似地,在進行PCA製程514之後,高介電常數閘極堆疊506(第5C圖)可順應性氟化(順
應性氟化物摻雜),如上所述,而形成氟化的高介電常數閘極堆疊506A。再者,在各個不同實施例中,此處所使用的高介電常數閘極堆疊506的”順應性氟化物摻雜”為高介電常數閘極堆疊506的界面層及高介電常數介電層的摻雜,其中形成於FinFET裝置的鰭部頂部上方的特定的高介電常數閘極堆疊506(界面層或高介電常數介電層)的頂部的氟濃度實質上相同於形成於FinFET裝置的鰭部側部上的同一高介電常數閘極堆疊506的氟濃度。因此,透過順應性氟摻雜有效鈍化氟化的高介電常數閘極堆疊506A中界面層及高介電常數介電層兩者的缺陷(界面/體缺陷)。之後,在各個不同範例中,可形成一閘極金屬層(例如,位於阻障金屬層上方),且半導體裝置500可繼續進行後續製程,如以下所述。
半導體裝置500可進一步進行製程以形成各個不同的習知特徵部件及區域。舉例來說,後續製程可形成各個不同的接觸連接窗(contact)/介層連接窗(via)/導線及多層內連接特徵部件(例如,金屬層及內層介電層)於基底502上,以配置成連接各個不同的特徵部件而形成功能電路,其包括一或多個FinFET裝置。在一範例中,一多層內連接結構可包括垂直內連接(例如,介層連接窗或接觸連接窗)及水平內連接(例如,金屬導線)。各個不同的內連接特徵部件可使用不同的導電材料,包括銅、鎢及/或矽化物。在一範例中,利用鑲嵌及/或雙鑲嵌製程以形成銅相關的多層內連接結構。再者,根據方法400的各個不同實施例,可在進行方法400之前、期間及之後實施額外的製程步驟,且上述某些製成步驟可被取代或移除。
現請參照第6A至6E圖,其繪示出根據第4圖的方法400的一或多個步驟的不同製程階段中,位於一區域(箱形虛線515)中一部分的半導體裝置500的放大剖面示意圖。如圖所示,第6A圖的範例實質上對應於第5A圖的範例,也同樣於方法400的區塊404的實施例,其中阻障金屬層508形成於高介電常數閘極堆疊506上方。第6A圖的範例也顯示出高介電常數閘極堆疊506的更多細節,其中高介電常數閘極堆疊506的界面層(IL)形成於鰭部件504上方,且高介電常數閘極堆疊506的高介電常數介電層(HK)形成於界面層(IL)上方。在形成阻障金屬層508於高介電常數閘極堆疊506之後,可選擇性進行一退火製程,如先前所述。
繼續,第6B圖的範例實質上對應於第5B圖的範例,也同樣於方法400的區塊406的實施例。第6B圖的範例繪示出熱氟處理510的一些型態的更多細節。舉例來說,第6B圖繪示出埋入阻障金屬層508內的複數個氟原子602形成順應性的氟化阻障金屬層508A。在一些實施例中,當阻障金屬層508包含TiSiN,順應性的氟化阻障金屬層508A包含氟化的TiSiN(TiSiNF)。然而,其他阻障金屬層508材料同樣可用於形成其他氟化阻障金屬層(例如利用熱氟處理510的方式),如先前所述。在各個不同實施例中,氟原子602實質上均勻地分佈於整個順應性的氟化阻障金屬層508A。如此處所述,隨後將氟原子602趨入(例如,擴散進入)高介電常數閘極堆疊506(例如,接著進行退火製程),包括進入高介電常數閘極堆疊506的界面層(IL)及高介電常數介電層(HK)其中一者或兩者。
在一些實施例中,氟原子602也沿著一或多個鰭部/IL界面604、IL/HK界面606以及HK/阻障金屬層界面608分佈(例如,接著進行退火製程)。因此,氟原子602可用於有效鈍化高介電常數閘極堆疊506的界面層(IL)及高介電常數介電層(HK)的體缺陷,而位於各自的界面604、606及608的界面缺陷也是一樣。
第6C圖的範例實質上對應於第5C圖的範例,也同樣於方法400的區塊408的實施例。蓋層512形成於阻障金屬層508上方,包括位於順應性的氟化阻障金屬層508A上方。第6C圖的範例繪示出形成蓋層512的一些型態的更多細節。如先前所述,在至少一些實施例中,蓋層512可包括一CVD沉積的矽(或多晶矽)層(如第6C圖所標示的CSI)。舉例來說,利用三氯矽烷(SiHCL3)或矽烷(SiH4)作為前驅物氣體。在一些實施例中,CVD沉積的溫度約為400℃且進行約2.5小時,如以下配合第7圖的更多細節所述。在一些範例中,CVD沉積的溫度上升至但低於或等於650℃。在一些實施例中,舉例來說,當於升高溫度(例如在一些情形是相當於400℃)下沉積CVD矽(或多晶矽)層時,氟原子602的原子可至少局部擴散/重新分佈於阻障金屬層508及成長的蓋層512兩者。因此,在一些範例中,CVD-沉積矽(或多晶矽)層(CSI)可被氟化,如第6D圖所標示的FSI。無疑地,蓋層512可包括其他材料,例如介電層(例如,SiO2)、氮化層(例如,Si3N4)及/或其他適合材料,其可相似於在升高溫度下沉積,且可至少局部擴散/重新分佈於阻障金屬層508及成長的蓋層512兩者。另外,第6C圖繪
示出順應性的氟化阻障金屬層508A可不受限留於阻障金屬層508的頂部(或許如原先沉積),而是至少局部形成蓋層512。當氟原子602的原子重新分佈時,順應性的氟化阻障金屬層508A擴散的更廣。
繼續,第6D圖包括方法400的區塊410的實施例。進行PCA製程,且第6D圖的範例也實質上對應於第5C圖的範例。第6D圖的範例繪示出PCA製程514的一些型態的更多細節。如先前所述,用於實施PCA製程514的RTA製程用於從擴散的順應性的氟化阻障金屬層508A以及氟化的蓋層512(例如,FSI)趨入氟原子602的原子,以進一步進入阻障金屬層508及高介電常數閘極堆疊506。具體而言,氟原子602的原子可趨入(例如,可透過PCA製程514)高介電常數閘極堆疊506的界面層(IL)及高介電常數介電層(HK)的其中一者或兩者。在一些實施例中,PCA製程514造成氟原子602的原子也沿著一或多個鰭部/IL界面604、IL/HK界面606以及HK/阻障金屬層界面608分佈(例如,接著進行退火製程)。因此,氟原子602可用於有效鈍化高介電常數閘極堆疊506的界面層(IL)及高介電常數介電層(HK)的體缺陷,而位於各自的界面604、606及608的界面缺陷也是一樣。在一些實施例中,可調整PCA製程514,使大多數的氟原子62的原子趨入高介電常數閘極堆疊506內(不同於停留於阻障金屬層508內)。再者,本揭露的實施例提供了在進行PCA製程之後,趨入氟原子62(先前順應性地/均勻地分佈於整個順應性的氟化阻障金屬層508A),以進一步提供順應性氟摻雜高介電常數閘極堆疊506。因此此處揭露
的實施例提供利用氟原子62的方式有效鈍化高介電常數閘極堆疊506的界面及/或體缺陷。
第6E圖的範例實質上對應於第5D圖的範例,也同樣於方法400的區塊412的實施例。去除蓋層。第6E圖的範例繪示出去除蓋層512的一些型態的更多細節。如先前所述,蓋層51(或氟化的蓋層512,例如膜層FSI)可透過選擇性乾蝕刻製程(例如,RIE或ICP)、選擇性濕蝕刻製程或其他適合製程去除。具體來說,當氟原子62的原子自阻障金屬層508的上表面驅離(例如,透過PCA製程514),用於去除蓋層的蝕刻製程可配置成停止於阻障金屬層508上。然而,在一些實施例中,如第5D圖所示,至少一部分的順應性的氟化阻障金屬層508A可留下來(例如,在進行PCA製程514之後),且用於去除蓋層的蝕刻製程可配置成停止於順應性的氟化阻障金屬層508A上。第6E圖也繪示出透過先前所述的PCA製程514形成氟化的阻障金屬層508B及氟化的高介電常數閘極堆疊506B。之後,在各個不同實施例中,一閘極金屬層可形成於氟化的阻障金屬層508B上方,且半導體裝置500可進行進一步的製程,如先前所述。
現請參照第7圖,其繪示出對應熱氟處理510(例如,先前配合第5B圖所述)及沉積蓋層512(例如,先前配合第5C圖所述)的製程設計圖702。具體來說,製程設計圖702顯示出熱氟處理(例如,方法400的區塊406)及形成蓋層(例如,方法400的區塊408)的每一者的溫度及壓力為一時間函數。舉例來說,製程設計圖702的一第一部704對應於熱氟處
理,且製程設計圖702的一第二部706對應於形成蓋層。在一些實施例中,第一部704的總持續時間約30分鐘,且第二部706的總持續時間約2.5小時。第7圖中第一部704與第二部706由一虛線708所隔開。
在各個不同實施例中,在進行方法400的區塊404中的形成阻障金屬以及選擇性退火之後,開始進行熱氟處理法(區塊406)。請參照製程設計圖702的第一部704,熱氟處理法始於透過在載入半導體裝置500於適合的製程系統之後,設定溫度至熱氟處理的溫度(例如,約250-300℃)及設定壓力致大氣壓力(例如,760Torr)。接著壓力(例如,製程系統的壓力)抽真空至系統基準壓力(base pressure,BP)並在系統基準壓力下維持數分鐘。之後,在一些實施例中,引入氟(F2)氣體流經半導體裝置500上方。舉例來說,流速約在0.3-1.0SLM(每分鐘標準立升)的範圍。如第7圖所示,氟氣流增加製程系統壓力。如圖所示,在流入氟氣體期間,製程系統的溫度約維持在250-300℃的範圍,且氟氣體流經於半導體裝置500上方的時間約在8-10分鐘的範圍。儘管此處所述的熱氟處理法(區塊406)通常對應於第一部704,然而熱氟處理510的持續時間(例如引入氟原子於阻障金屬層508內)可為氟氣體流經於半導體裝置500上方的時間。在進行熱氟處理510之後,清理製程系統(例如,清除氟氣體)並抽真空(例如,抽至系統BP)。在一些情形中,上述清理及抽真空的週期時間可超過5分鐘。
在進行熱氟處理法(區塊406)之後,開始進行蓋層形成法(區塊408)。現請參照製程設計圖702的第二部706,
蓋層形成法始於透過在上述清理及抽真空周期之後,升溫至蓋層沉積溫度(約400℃),且壓力約在0.1-10Torr。在一些實施例中,舉例來說,如第7圖所示,在進行蓋層形成法期間,壓力(例如,製程系統壓力)實質上維持不變。在一些實施例中,蓋層形成法(第二部706)進行時間約2.5小時。在一些情形中,蓋層形成法進行時間包括升溫時間(例如,自熱氟處理法的溫度升至蓋層形成法的溫度)。在各個不同實施例中,在進行蓋層形成法期間,且在第7圖所示的溫度及壓力下,沉積(例如,透過ALD、CVD及/或其他適合的製程)蓋層512。在至少一些實施例中,在進行蓋層形成法期間,沉積一CVD-沉積矽層,以形成蓋層512。在一些實施例中,在形成蓋層512之後,可自製程系統終將半導體裝置500卸載(例如,以進行進一步製程)。
現請參照第8A至8C圖,其繪示出根據一些實施例之X射線光電子能譜儀(XPS)的能譜圖,顯示加入氟的前後的表面化學(例如,半導體裝置500的表面化學)的型態。具體而言,第8A至8C圖繪示出各個不同的組成元素(包括氟)的適當鍵結及濃度,其構成半導體裝置500的一或多個膜層(例如,高介電常數閘極堆疊506的膜層)。如圖所示,第8A至8C圖可對照包含HfO2及Si鰭部件504的高介電常數閘極堆疊506來說明。舉例來說,第8A圖提供F1s XPS能譜802(其為無經過氟處理的裝置)以及F1s XPS能譜802F(其為經過氟處理(6%氟)的裝置)。所熟知的是F1s XPS區為氟的主要XPS區。因此,第8A圖的XPS能譜繪示出採用此處所述熱氟處理法實施例
的裝置的高介電常數閘極堆疊506的介面層及高介電常數介電層內所偵測到的氟。第8B圖提供Hf4f XPS能譜804(其為無經過氟處理的裝置)以及Hf4f XPS能譜804F(其為經過氟處理(6%氟)的裝置)。所熟知的是Hf4f XPS區為鉿的主要XPS區。另外,已知加入氟於其他元素(例如,鉿)內會引起化學位移(chemical shift)。因此,第8B圖的XPS能譜繪示出於包含HfO2閘極介電層並採用此處所述熱氟處理法實施例的裝置內(例如,於高介電常數閘極堆疊506內)形成Hf-F鍵結。第8C圖提供Si2p XPS能譜806(其為無經過氟處理的裝置)以及Si2p XPS能譜806F(其為經過氟處理(6%氟)的裝置)。所熟知的是Si2p XPS區為矽的主要XPS區。因此,第8C圖的XPS能譜繪示出採用此處所述熱氟處理法實施例的裝置中再成長的界面層,在本範例中其包括SiO2界面層(例如,第8C圖的Si2p XPS能譜中的左手邊的峰值所示),其與未採用熱氟處理法的裝置中所觀察到的界面層相似。儘管第8A至8C圖的實施例中的範例為6%氟,然而並未限定於上述氟濃度。舉例來說,在各個不同實施例中,氟濃度(例如,加入於高介電常數閘極堆疊506內)約在2-6%。
此處所述及的各個不同實施例提供許多應於現有技藝的優點。可以理解的是此處並未說明所有的優點,且沒有特定的優點是所有實施例所需具備的。舉例來說,此處所述的實施例包括提供順應性摻雜FinFET的鰭部結構的方法及結構,例如透過熱處理製程,避免離子佈植所造成的損害,並提供順應性/均勻摻雜三維FinFET的鰭部結構(例如,三維結構
的每一側上)。在一些實施例中,在形成FinFET的閘極堆疊於FinFET的鰭部結構之後,且在形成閘極金屬層之前,可進行氟處理。舉例來說,在一些實施例中,一氮化鈦矽(TiSiN)層可形成於FinFET的閘極堆疊的高介電常數介電層上方。在一些範例中,接著進行一原位PMA製程。之後,在各個不同的實施例中,可進行一熱氟處理製程,使一部分的TiSiN層被氟化而形成一氟化的TiSiN層。在一些實施例中,接著形成一蓋層於氟化的TiSiN層上方。在各個不同的實施例中,進行PCA製程以將氟原子驅入FinFET的閘極堆疊內,藉以鈍化構成FinFET的閘極堆疊的膜層的界面及/或體缺陷。在各個不同的實施例中,在進行PCA製程之後,去除蓋層且可形成FinFET的閘極金屬層。
因此,本揭露的實施例的其中一者提供一種半導體裝置(例如,FinFET裝置)之製造方法。上述方法包括形成一閘極堆疊以至少局部位於延伸自一基底的一鰭部上方。在各個不同的實施例中,沉積一阻障金屬層於閘極堆疊上方。舉例來說,進行一熱氟處理,其中熱氟處理形成一氟化層於阻障金屬層內,且其中氟化層具有複數個氟原子。在一些實施例中,在形成氟化層之後,進行退火以驅入至少一些的氟原子於閘極堆疊內(例如,趨入界面層及高介電常數介電層內),藉以利用上述至少一些的氟原子順應性摻雜閘極堆疊。
在一些實施例中,上述方法更包括在形成氟化層之後,且在進行退火之前,形成一蓋層於氟化層上方。
在一些實施例中,形成蓋層包括形成一化學氣相
沉積(CVD)-沉積矽層。
在一些實施例中,上述方法更包括去除蓋層以及形成一閘極金屬層於阻障金屬層上方。
在一些實施例中,上述退火包括快速熱退火(RTA)製程。
在一些實施例中,閘極堆疊包括至少局部位於鰭部上方的一界面層以及位於界面層上方的一閘極介電層,且其中阻障金屬層沉積於閘極介電層上方。
在一些實施例中,進行退火以驅入至少一些的氟原子於閘極堆疊內係以上述至少一些的氟原子順應性摻雜閘極堆疊。
在一些實施例中,沉積阻障金屬層包括沉積一氮化矽鈦(TiSiN)層。
在一些實施例中,氟化層包括一氟化的氮化矽鈦層。
在一些實施例中,上述方法更包括在沉積阻障金屬層之後,且在進行熱氟處理之前,進行後金屬化退火(PMA)製程。
在一些實施例中,進行熱氟處理包括在約250-300℃的範圍,將氟(F2)氣體流經阻障金屬層上方。
本揭露的另一實施例中提供一種半導體裝置之製造方法。上述方法包括形成一界面層於延伸自一基底的一鰭部上方以及形成一閘極介電層於界面層上方。在各個不同的實施例中,沉積一阻障金屬層於閘極介電層上方。之後,在一些實
施例中,可進行一熱氟處理製程。舉例來說,熱氟處理製程包括在製程溫度實質上相同於熱氟處理製程的溫度下,將氟(F2)氣體流經阻障金屬層上方。在一些實施例中且因應於熱氟處理製程的溫度下將氟(F2)氣體流經阻障金屬層上方,可引入複數個氟原子至阻障金屬層的一第一部,以形成氟化的阻障金屬層。在各個不同範例中,接著進行一快速熱退火(RTA)製程,以驅入至少一些氟原子於界面層及閘極介電層內,藉以鈍化位於其內的體缺陷及/或界面缺陷。
在一些實施例中,上述方法更包括在進行熱氟處理製程之後,且在進行快速熱退火製程之前,進行蓋層沉積製程,包括:從熱氟處理溫度升溫製程溫度至蓋層沉積溫度,以及沉積一蓋層於氟化的阻障金屬層上方。
在一些實施例中,上述方法更包括因應於蓋層沉積溫度下沉積蓋層,至少局部重新分佈氟原子而自氟化的阻障金屬層進入阻障金屬層的一第二部以及蓋層兩者內,藉以形成一氟化的蓋層。
在一些實施例中,上述方法更包括去除氟化的蓋層以及形成一閘極金屬層於氟化的阻障金屬層上方。
在一些實施例中,進行快速熱退火製程以將至少一些氟原子驅入一或多個的鰭部/界面層界面、界面層/閘極介電層界面以及閘極介電層/阻障金屬層界面。
在一些實施例中,上述至少一些氟原子鈍化界面層與閘極介電層每一者的體缺陷及界面缺陷。
本揭露又另一實施例中提供一種半導體裝置,其
包括:一基底,具有一鰭部;一界面層,具有一上界面部形成於鰭部的頂部上以及一側界面部形成於鰭部的側部上;一高介電常數介電層,具有一上高介電常數部形成於上界面部上以及一側高介電常數部形成於側界面部上;以及一阻障金屬層形成於高介電常數介電層上方。在各個不同範例中,界面層及高介電常數介電層順應性摻雜氟原子。舉例來說,在各個不同實施例中,上界面部及側界面部具有實質上相同的氟原子濃度,且上高介電常數部及側高介電常數部具有實質上相同的氟原子濃度。
在一些實施例中,阻障金屬層具有一上阻障金屬部形成於上高介電常數部上以及一側阻障金屬部形成於側高介電常數部上,且上阻障金屬部及側阻障金屬部具有實質上相同的氟原子濃度。
在一些實施例中,阻障金屬層包括氮化鈦矽(TiSiN)層。
以上概略說明了本發明數個實施例的特徵,使所屬技術領域中具有通常知識者對於本揭露的型態可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到可輕易利用本揭露作為其它製程或結構的變更或設計基礎,以進行相同於此處所述實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構並未脫離本揭露之精神和保護範圍內,且可在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。
400‧‧‧方法
402、404、406、408、410、412‧‧‧區塊
Claims (1)
- 一種半導體裝置之製造方法,包括:形成一閘極堆疊以至少局部位於延伸自一基底的一鰭部上方;沉積一阻障金屬層於該閘極堆疊上方;進行一熱氟處理,其中該熱氟處理形成一氟化層於該阻障金屬層內,且其中該氟化層具有複數個氟原子;以及在形成該氟化層之後,進行退火以驅入至少一些的該等氟原子於該閘極堆疊內,藉以利用該至少一些的該等氟原子順應性摻雜該閘極堆疊。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/970,291 US9960053B2 (en) | 2015-12-15 | 2015-12-15 | FinFET doping methods and structures thereof |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201732904A true TW201732904A (zh) | 2017-09-16 |
Family
ID=59020856
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105139209A TW201732904A (zh) | 2015-12-15 | 2016-11-29 | 半導體裝置之製造方法 |
Country Status (3)
Country | Link |
---|---|
US (2) | US9960053B2 (zh) |
CN (1) | CN106972049A (zh) |
TW (1) | TW201732904A (zh) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI662604B (zh) * | 2017-11-06 | 2019-06-11 | 台灣積體電路製造股份有限公司 | 半導體裝置之形成方法 |
TWI704620B (zh) * | 2018-10-26 | 2020-09-11 | 台灣積體電路製造股份有限公司 | 積體電路的製造方法 |
TWI718726B (zh) * | 2018-10-30 | 2021-02-11 | 台灣積體電路製造股份有限公司 | 半導體元件製造方法及半導體元件 |
US11088029B2 (en) | 2018-09-26 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate stack treatment |
Families Citing this family (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109309053B (zh) * | 2017-07-26 | 2021-02-12 | 中芯国际集成电路制造(天津)有限公司 | 半导体器件及形成方法 |
US10163657B1 (en) * | 2017-08-25 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US10672886B2 (en) | 2017-08-31 | 2020-06-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for high-k metal gate |
SG11202001191VA (en) * | 2017-09-03 | 2020-03-30 | Applied Materials Inc | Conformal halogen doping in 3d structures using conformal dopant film deposition |
US10854459B2 (en) | 2017-09-28 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure passivating species drive-in method and structure formed thereby |
US10276697B1 (en) | 2017-10-27 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance FET with improved reliability performance |
US11335555B2 (en) * | 2018-04-06 | 2022-05-17 | Applied Materials, Inc. | Methods for conformal doping of three dimensional structures |
US11270994B2 (en) * | 2018-04-20 | 2022-03-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure, fin field-effect transistor, and method of manufacturing fin-field effect transistor |
US10468258B1 (en) * | 2018-06-12 | 2019-11-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Passivator for gate dielectric |
US10930508B2 (en) | 2019-02-21 | 2021-02-23 | Applied Materials, Inc. | Replacement metal gate formation of PMOS ultra-low voltage devices using a thermal implant |
KR102612404B1 (ko) | 2019-03-08 | 2023-12-13 | 삼성전자주식회사 | 반도체 소자 및 그의 제조 방법 |
US11189479B2 (en) * | 2019-05-06 | 2021-11-30 | Applied Materials, Inc. | Diffusion barrier layer |
US11195938B2 (en) * | 2019-07-30 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device performance by fluorine treatment |
US10985265B2 (en) * | 2019-08-22 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US10978567B2 (en) | 2019-09-17 | 2021-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate stack treatment for ferroelectric transistors |
DE102020100099A1 (de) * | 2019-09-30 | 2021-04-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gatestrukturen in halbleitervorrichtungen |
US11756832B2 (en) | 2019-09-30 | 2023-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structures in semiconductor devices |
US11462626B2 (en) | 2019-10-29 | 2022-10-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture |
DE102020130401A1 (de) | 2020-05-28 | 2021-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dipolig-gefertigtes high-k-gate-dielektrikum und verfahren zu dessen bildung desselben |
US11784052B2 (en) * | 2020-05-28 | 2023-10-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dipole-engineered high-k gate dielectric and method forming same |
US11417571B2 (en) * | 2020-06-12 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dopant profile control in gate structures for semiconductor devices |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6825133B2 (en) | 2003-01-22 | 2004-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Use of fluorine implantation to form a charge balanced nitrided gate dielectric layer |
KR100788361B1 (ko) * | 2006-12-12 | 2008-01-02 | 동부일렉트로닉스 주식회사 | 모스펫 소자의 형성 방법 |
US8319295B2 (en) * | 2007-01-10 | 2012-11-27 | Imec | Use of F-based gate etch to passivate the high-k/metal gate stack for deep submicron transistor technologies |
US7667247B2 (en) | 2007-03-30 | 2010-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for passivating gate dielectric films |
US8106469B2 (en) | 2010-01-14 | 2012-01-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and apparatus of fluorine passivation |
US7939353B1 (en) | 2010-09-28 | 2011-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming integrated circuits |
US9184233B2 (en) | 2013-02-27 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for defect passivation to reduce junction leakage for finFET device |
US20150132938A1 (en) * | 2013-11-13 | 2015-05-14 | Intermolecular, Inc. | Methods and Systems for Forming Reliable Gate Stack on Semiconductors |
-
2015
- 2015-12-15 US US14/970,291 patent/US9960053B2/en active Active
-
2016
- 2016-11-29 TW TW105139209A patent/TW201732904A/zh unknown
- 2016-11-30 CN CN201611085233.1A patent/CN106972049A/zh active Pending
-
2018
- 2018-04-30 US US15/966,682 patent/US10276399B2/en active Active
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI662604B (zh) * | 2017-11-06 | 2019-06-11 | 台灣積體電路製造股份有限公司 | 半導體裝置之形成方法 |
US10522344B2 (en) | 2017-11-06 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with doped gate dielectrics |
US10930495B2 (en) | 2017-11-06 | 2021-02-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with doped gate dielectrics |
US11605537B2 (en) | 2017-11-06 | 2023-03-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with doped gate dielectrics |
US11088029B2 (en) | 2018-09-26 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate stack treatment |
TWI744690B (zh) * | 2018-09-26 | 2021-11-01 | 台灣積體電路製造股份有限公司 | 電晶體結構及形成半導體結構的方法 |
US11670553B2 (en) | 2018-09-26 | 2023-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate stack treatment |
TWI704620B (zh) * | 2018-10-26 | 2020-09-11 | 台灣積體電路製造股份有限公司 | 積體電路的製造方法 |
US10985022B2 (en) | 2018-10-26 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures having interfacial layers |
TWI718726B (zh) * | 2018-10-30 | 2021-02-11 | 台灣積體電路製造股份有限公司 | 半導體元件製造方法及半導體元件 |
US11081584B2 (en) | 2018-10-30 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices using a capping layer in forming gate electrode and semiconductor devices |
Also Published As
Publication number | Publication date |
---|---|
CN106972049A (zh) | 2017-07-21 |
US9960053B2 (en) | 2018-05-01 |
US10276399B2 (en) | 2019-04-30 |
US20170170027A1 (en) | 2017-06-15 |
US20180247829A1 (en) | 2018-08-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10276399B2 (en) | FinFET doping methods and structures thereof | |
US11972981B2 (en) | FinFET channel on oxide structures and related methods | |
US11764280B2 (en) | Method for fabricating metal gate devices and resulting structures | |
CN106992118B (zh) | 半导体器件制造的方法和处理系统 | |
US11532717B2 (en) | Forming metal contacts on metal gates | |
US11830928B2 (en) | Inner spacer formation in multi-gate transistors | |
US11996334B2 (en) | Semiconductor device fabrication methods and structures thereof | |
TW202006828A (zh) | 半導體結構的形成方法 | |
US9496385B2 (en) | Structure and method of forming semiconductor device | |
US11328959B2 (en) | Semiconductor structure and related methods |