TWI744690B - 電晶體結構及形成半導體結構的方法 - Google Patents

電晶體結構及形成半導體結構的方法 Download PDF

Info

Publication number
TWI744690B
TWI744690B TW108132115A TW108132115A TWI744690B TW I744690 B TWI744690 B TW I744690B TW 108132115 A TW108132115 A TW 108132115A TW 108132115 A TW108132115 A TW 108132115A TW I744690 B TWI744690 B TW I744690B
Authority
TW
Taiwan
Prior art keywords
fluorine
layer
dielectric layer
work function
dielectric
Prior art date
Application number
TW108132115A
Other languages
English (en)
Other versions
TW202013441A (zh
Inventor
錢德拉謝卡爾P 薩萬特
蔡家銘
陳明德
林士琦
張景舜
余典衞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013441A publication Critical patent/TW202013441A/zh
Application granted granted Critical
Publication of TWI744690B publication Critical patent/TWI744690B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01009Fluorine [F]

Abstract

在此揭示一種形成具有氟濃度可達約35nt.%的閘極堆疊層之方法。方法包含形成介電堆疊層,阻障層以及將介電堆疊層及/或阻障層浸漬於含氟氣體。方法另包含沉積一或多個功函數層於高介電常數介電層上,以及將一或多層功函數層中至少一層浸漬於含氟氣體。本方法也包含選擇性驅入氟之退火操作,連同使用犧牲屏障層來避免氟外擴散或者逸散至大氣。

Description

電晶體結構及形成半導體結構的方法
本揭露係關於一種電晶體結構及處理方法。
可以藉由調整電晶體的閘極結構內之功函數層的厚度而調整電晶體(例如,P型電晶體)的臨界電壓。然而,縮放電晶體閘極結構-以製造更小的器件-引入臨界電壓調整的挑戰,原因來自電晶體之間的間隔減小,對功函數層之厚度的調整受到限制。
一種形成半導體結構的方法,包含:形成鰭狀結構於基板上;形成閘極介電堆疊於鰭狀結構上,其中閘極介電堆疊包含高介電常數介電層,高介電常數介電層設於界面介電層上;將高介電常數介電層浸漬於含氟氣體中;以及沉積覆蓋層於高介電常數介電層上。
一種電晶體結構,包含基板、鰭狀結構、閘極介電堆疊、高介電常數介電層以及一或多個功函數層。鰭狀 結構設於基板上。閘極介電堆疊設於鰭狀結構上,其中閘極介電堆疊包含界面介電層。高介電常數介電層設於該界面介電層上,其具有氟濃度介於約0.01atomic%至約35atomic%。一或多個功函數層設於閘極介電堆疊上。
一種形成半導體結構的方法,包含:形成界面介電層於數個鰭狀結構上,鰭狀結構設於基板上;沉積高介電常數介電層於界面介電層上;沉積一或多個功函數層於高介電常數介電層上;在介於約70℃至約950℃的一溫度下加熱基板;以及將一或多層功函數層中至少一層浸漬於含氟氣體並同時加熱該基板。
100:部分加工閘極堆疊
100A:界面介電層
100B:高介電常數介電層
100C:覆蓋層
100D:阻障層
100E:功函數堆疊
100F:金屬閘層
110:鰭狀結構
120:基板
130:隔離區
140:鰭狀結構到鰭狀結構之間距
150:區域
200 210 220:p型電晶體
300:方法
305 310 315 320 325 330 335 340 345 350 355 360 370:操作
500 800 1100:含氟氣體
600 900:犧牲屏障層
700 710 1000 1010 1200 1210:氟分佈
WF1 WF2 WF3 WF4 WF5:功函數層
本揭露之一實施例的觀點從後續描述以及附圖可以更佳理解。須知各種特徵未按比例繪製,事實上,不同的特微之尺寸可以任意增加或減少以清楚論述。
第1圖為依據一些實例之部分加工的閘極堆疊之剖面圖。
第2圖為依據一些實例之P型電晶體的部分加工的閘極堆疊之功函數層的放大圖。
第3A圖以及第3B圖為依據一些實例之形成閘極堆疊的方法,包含氟化操作以及選擇性的退火操作。
第4圖為依據一些實例之部分加工的閘極堆疊之剖面圖。
第5圖為依據一些實例之部分加工的閘極堆疊在氟化操作時之剖面圖。
第6圖為部分加工的閘極堆疊在形成犧牲屏障層之後之剖面圖。
第7圖為依據一些實例之顯示二個氟分佈之二次離子質譜儀圖(SIMS),其位於部分加工的閘極堆疊之高介電常數介電層與該界面介電層。
第8圖為依據一些實例之部分加工的閘極堆疊,在阻障層處於氟化操作期間之剖面圖。
第9圖為依據一些實例之部分加工的閘極堆疊在阻障層上形成犧牲屏障層之後之剖面圖。
第10圖為依據一些實例之二次離子質譜儀圖(SIMS)顯示二個氟分佈,其位於部分加工的閘極堆疊之阻障層,覆蓋層,高介電常數介電層,以及界面介電層。
第11圖為依據一些實例之部分加工的閘極堆疊之剖面圖,其一或多個功函數層處於氟化操作。
第12圖為依據一些實例之顯示二個氟分佈之二次離子質譜儀圖(SIMS),其位於部分加工的閘極堆疊之功函數堆疊。
以下的揭露內容提供許多不同的實施例或示例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並用以限定。舉例來說,形成第一構件於第二構件上的敘述包含二者直接接觸,或二者之間隔有其他額外構件而非直接 接觸。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類用語可以於簡化說明某一元件或構件與另一元件或構件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動(轉動90度或其他角度)並且在此使用之空間相關描述詞也可相應地被解釋。
此處使用的用語“標稱的(nominal)”是指在產品或製程的設計階段期間設定的組件或製程操作的特性或參數的期望值或目標值,以及在期望值以上及/或以下的範圍值。值的範圍一般是由於製造過程或誤差導致的輕微變化。
本文所用的術語「約」表示可以基於與目標半導體裝置相關的特定技術節點而變化的特定值。在一些實施例中,基於特定技術節點,術語「約」可以表示在給定的量,例如上述數值的5-30%(例如:數值的±5%,±10%,±20%或±30%)內變化的數值。
此處使用的用語“垂直”意味著名義上垂直於基板的表面。
鰭式電晶體(例如,鰭式場效應電晶體或「finFET」)的閘極結構之功函數層部分地控制電晶體的臨界電壓。更具體地說,finFET的臨界電壓值取決於功函數層的總厚度及類型。因此,藉由控制每個finFET中功函數層的厚度(或功函數層的數量),可在同一個基板上形成 複數個具有不同臨界電壓的finFET。舉例來說,具有低臨界電壓值的finFET可以用於晶片內的「低」或「超低」功率應用,並且具有較高臨界電壓值的finFET可以用於晶片內的高功率應用。
P型finFET及n型finFET表現出不同的絕對臨界電壓(例如:臨界電壓的大小而不考慮其符號)是因為p型finFET及n型finFET中使用的功函數金屬在厚度,數量及/或組成方面為不相同。結果上就絕對值而言,p型finFET具有相較n型finFET更高的臨界電壓。舉例來說,P型finFET需要更高的電壓來導通(比如允許電流在電晶體的源極和汲極端子之間流動)。因此,相較於n型finFET,p型finFET視為較「弱」,一種可以降低(比如減少)p型finFET的臨界電壓的方法是增加它們各自的功函數層之厚度。然而,功函數層的厚度受到縮放的限制。比如隨著鰭狀結構到鰭狀結構的間距以及閘極到閘極的間距減小,功函數層的可用空間相應地減小。因此,增加p型finFET中的一或多個功函數層的厚度變得挑戰。舉例來說,由於鰭狀結構之間的空間有限,現存或較厚的功函數層可能產生較差的間隙填充,這可能導致孔洞和晶片上不可預測的臨界電壓變化。因此,具有更厚或更多功函數層的p型finFET可能不會是下一代技術節點的選擇。
本揭露之實施例揭示一種包含一或多個氟化操作的方法,其可應用於n型和p型finFET的閘極堆疊層。每次氟化操作可引入約0.01atomic%至約35atomic%的氟 含量。另外,氟化操作可以應用於閘極堆疊的一或多層,包含但不限於此,閘極介電,阻擋層,及/或閘極堆疊的任何功函數層。此外,氟化操作不涉及離子移植或高能量(例如電漿)處理,其可能損壞閘極堆疊層。在一些實施例中,氟化操作包括將一或多個閘極堆疊層浸漬於含氟氣體中(比如三氟化氮,NF3;純氟氣,F2等)其在約70℃至約950℃的溫度下(比如約70℃,約130℃,約250℃,約370℃,約550℃,約650℃,約700℃,約900℃)。如果在閘極介電及/或阻障層執行氟化操作,則可執行選擇性之退火操作以調節閘極介電及/或阻障層內的氟分佈。在這種情況下,可以在閘極介電及/或阻障層上形成犧牲屏障層,以防止在退火操作時氟的向外擴散。在一些實施例中,氟化閘極介電鈍化閘極介電的含氧量來減小閘極介電中的缺陷中心,並提高閘極介電的可靠性。在一些實施例中,氟化閘極介電鈍化位於閘極介電堆疊與基板之界面的懸空鍵,使得該界面的界面缺陷(DIT)中心之密度下降,這又提高閘極介電堆疊的可靠性。在一些實施例中,氟化阻障層增加阻障層的功函數,使P型電晶體的臨界電壓降低。此外,氟化阻障層鈍化閘極介電堆疊的含氧量,以部分地減小閘極介電堆疊內的缺陷中心,並提高閘極介電堆疊的可靠性。在一些實施例中,氟化一或多個功函數層增加這些層的有效功函數,降低了P型電晶體的臨界電壓值,並且藉由鈍化閘極介電的含氧量,以部分地減小閘極介電中的缺陷中心。因此,氟化一或多個功函數層也改善閘極介電堆疊的可靠性。
第1圖為在鰭狀結構110的頂部上之部分加工的閘極堆疊100,鰭狀結構110垂直於基板120的表面。隔離區130將鰭狀結構110電氣隔離。再者,隔離區130將部分加工的閘極堆疊100與基板120電氣隔離。作為示例而非限制,基板120可以是主體半導體晶片(比如,矽晶片)或絕緣體上半導體晶片(例如,絕緣層上覆矽,SOI)。在一些實施例中,鰭狀結構110可包含(1)矽,(2)化合物半導體,例如砷化鎵(GaAs),磷化鎵(GaP),磷化銦(InP),砷化銦(InAs)及/或銻化銦(InSb),矽鍺(SiGe),(3)合金半導體,包括砷化鎵磷化物(GaAsP),砷化鋁銦(AlInAs),砷化鋁鎵(AlGaAs),砷化鎵(GaInAs),磷化鎵銦(GaInP)及/或砷化鎵銦磷化物(GaInAsP),或(4)以上所述組合。在一些實施例中,隔離區130是淺溝槽隔離(STI)結構,其包括含矽介電材料,例如氧化矽(SiO2),氮化矽(Si3N4),氮氧化矽(SiON),氟摻雜矽酸鹽玻璃(FSG),低介電常數介電材料(例如:k值小於約3.9),及/或具有適當間隙填充特性的其他合適介電材料。
部分加工的閘極堆疊100包含若干垂直堆疊層,如第1圖所示。作為示例而非限制,部分加工的閘極堆疊100可包含界面介電層100A,高介電常數介電層100B,覆蓋層100C,阻障層100D,功函數堆疊100E和金屬閘層100F。在一些實施例中,界面介電層100A是含氧化矽的介電質,以及高介電常數介電層100B是高介電常數材料,其 介電常數(k值)大於約3.9(例如,約4.0,約10,約20,約30等)。作為示例而非限制,界面介電層100A可包括氧化矽,氧化鍺及/或氮氧化矽,以及高介電常數介電層100B可包括氧化鉿,氧化鑭,氧化鋁,氧化釔或上述組合。在一些實施例中,界面介電層100A和高介電常數介電層100B在部分加工的閘極堆疊100內形成閘極介電堆疊。沉積覆蓋層100C以從閘極介電堆疊吸收氧氣,以及在形成阻障層100D,功函數堆疊100E和金屬閘層100F期間保護高介電常數介電層100B。在一些實施例中,覆蓋層100C改善閘極堆疊(例如,界面介電層100A和高介電常數介電層100B)的可靠性。作為示例而非限制,覆蓋層100C可以是氮化鈦(TiN)層或複合材料,例如鈦矽氮化物(TiSiN)。此外,阻障層100D可為例如氮化鉭(TaN)層。
在一些實施例中,功函數堆疊100E包含一或多個獨立功函數層,為簡單起見並未繪示於第1圖。功函數堆疊100E中功函數層之總厚度可以設定電晶體的臨界電壓。在一些實施例中,功函數層中的每一層包含氮化鈦及/或氮化鎢,可具有介於約8A至約16A的厚度範圍。最後,金屬閘層100F可包含鈦鋁合金或鉭鋁合金。在一些實施例中,部分加工的閘極堆疊100包含額外層,簡單起見並未繪示於第1圖,額外層可包含,額外的阻障層、金屬或金屬填充層,黏著層,接觸層等。
對於示例性p型電晶體200、210及220,第2圖是第1圖所示之部分加工的閘極堆疊100的區域150之一系 列的放大視圖。在一些實施例中,每一個p型電晶體200、210及220根據功函數層WF1、WF2、WF3、WF4及WF5之數量和厚度具有不同的臨界電壓。舉例來說,具有愈多個功函數層之功函數堆疊100E或愈厚的功函數堆疊100E,所得晶體的臨界電壓值越低。因此p型電晶體220的臨界電壓低於p型電晶體210的臨界電壓;以及p型電晶體210的臨界電壓低於p型電晶體200的臨界電壓。作為示例而非限制,p型電晶體220可以具有一臨界電壓,其適合於晶片中的超低功率應用的臨界電壓,p型電晶體210可以具有一臨界電壓,其適用於晶片中的低功率應用,p型電晶體200可以具有一臨界電壓,其適用於晶片中的標準功率應用。再者,p型電晶體210及220可以與p型電晶體220一起形成,因為p型電晶體200、210及220包含共同的功函數層(例如:WF3、WF4及WF5)-其可以同時被沉積用於p型電晶體200、210和220。
在一些實施例中,功函數層WF1、WF2、WF3、WF4和WF5具有相同或不同厚度以及類似或不同的組成(例如,鈦與氮的摩爾比,鎢與氮的摩爾比)。再者,愈多層功函數層,或愈厚之功函數層,在降低p型電晶體220的臨界電壓值上,可能無法提供額外的益處。舉例來說,隨著功函數層的數量增加,對臨界電壓的影響減弱。這是因為增加的功函數層及/或較厚的功函數層的形成,造成頂部的功函數層(例如,WF4和WF5層)進一步被"推"遠離通道,以致於對通道的影響較弱。因此,額外的功函數層可能不一定 導致p型電晶體具有較低臨界電壓值。此外,隨著技術節點演進,鰭狀結構到鰭狀結構之間距140漸漸縮小(例如,第1圖中所示),用於沉積部分加工的閘極堆疊100的空間成比例地減小。因此,額外數量的功函數層將佔據鰭狀結構110之間更多的可用空間,其減少了閘極堆疊內其他層(例如,WF4層,WF5層,100F層等)的可用空間。
在一些實施例中,氟化部分加工的閘極堆疊100的選擇層可降低p型電晶體200,210和220的臨界電壓並改善電晶體的可靠性。第3A和3B圖是示例性方法300的流程圖,該方法包含氟化操作,其可進一步降低p型電晶體的臨界電壓並提高p型和n型電晶體中的介電層堆疊的可靠性。可在方法300的各種操作之間執行其他製造操作,其可被省略僅為清楚起見。本揭露之實施例不限於方法300。
參考第3A圖,方法300始於操作305,以及沉積界面介電層100A及高介電常數介電層100B於鰭狀結構110之暴露部份與隔離區130之上表面。根據一些實施例,第4圖顯示所得到的結構。作為示例而非限制,藉由原子層沉積(ALD)或電漿增強型原子沉積(PEALD)方法毯覆式連續沉積界面介電層100A和高介電常數介電層100B。在一些實施例中,界面介電層100A和高介電常數介電層100B一起形成部分加工的閘極堆疊100的閘極介電堆疊。
參考第3A圖及第5圖,接續方法300之氟化操作310,其中暴露高介電常數介電層100B(例如:浸漬)於含氟氣體500。作為示例而非限制,含氟氣體500為氟源(例 如:氟前驅物),例如純氟氣(F2),三氟化氮(NF3),六氟化鎢(WF6),三氟甲烷(CHF3),四氟甲烷(CF4),六氟化硫(SF6),六氟乙烷(C2F6)或上述組合。上述含氟氣體列表並非詳盡無遺,可以使用額外的含氟氣體。在一些實施例中,熱分解含氟氣體500於高介電常數介電層100B的表面上或在高介電常數介電層100B的表面之上的環境。根據一些實施例,高介電常數介電層100B的表面,阻障層100D的表面,功函數堆疊100E之功函數層WF1/WF2/WF3/WF4/WF5的表面,可以作為該熱分解的催化劑。為了熱分解含氟氣體500,在介於約70℃至約950℃(例如,在約70℃,約100℃,約200℃,約300℃,約325℃,約650℃,約800℃,約900℃下等)溫度下加熱基板120。對於低於約70℃的加工溫度,可能無法在高介電常數介電層100B的表面上或在高介電常數介電層100B的表面之上的環境主動分解含氟氣體500。因此,低於70℃之加工溫度可能限制將摻入高介電常數介電層100B的氟含量。另一方面,在高於約950℃的加工溫度下,高介電常數介電層100B可能變為多晶體並形成晶界。高介電常數介電層100B中的晶界可以成為閘極堆疊及鰭狀結構間的電荷潛在路徑,其為不理想之路徑。再者,在高加工溫度(例如,高於950℃)下,界面介電層100A可能變厚,其可能降低界面介電層100A及高介電常數介電層100B的組合介電常數(k值),並且對電晶體之電氣行為產生負面影響。根據一些實施例,將高介電常數介電層100B暴露於含氟氣體 500係為熱處理,其不會對暴露的高介電常數介電層100B或界面介電層100A產生物理性損壞。
根據一些實施例,氟化操作310的浸漬時間範圍約1s至約30min(例如,約1s至約20s,約15s至約1min,約50s至約5min,約1min至約10min,約5min至約20min,約10min至約30min)。此外,浸漬過程中的環境壓力可以為約0.5Torr至約150Torr(例如,約0.5Torr至約10Torr,約5Torr至約50Torr,約30Torr至約75Torr,60Torr至約100Torr,從約90Torr至約150Torr)。上述製程參數(例如,浸漬時間和環境壓力)是示例性的而非限制性的。可以根據欲摻入高介電常數介電層100B的氟含量進一步調節浸漬時間和環境壓力。例如,長時間浸漬(例如10分鐘)和高環境壓力(例如70Torr)的組合可有利於在高介電常數介電層100B摻入更高含量的氟。然而,長時間浸漬(例如,長於約30分鐘)會降低產量並增加含氟氣體的消耗,造成製造成本上升。再者,可以根據含氟氣體500的類型,高介電常數介電層100B的類型和其他因素(例如,進行氟化操作的反應器之幾何形狀)進一步調節浸漬時間和環境壓力。
在一些實施例中,在操作310期間,一些氟經由高介電常數介電層100B朝向與界面介電層100A的界面擴散,其為期望的效果且具有以下益處:(1)淨化高介電常數介電層100B,其藉由移除碳及/或氯副產物,其源自高介電常數介電層100B的沉積過程,以及(2)降低缺陷中心,其位於高介電常數介電層100B中(例如:高介電常數介電層 100B與界面介電層100A的界面)以及在界面介電層100A與鰭狀結構110之通道的界面。在一些實施例中,藉由鈍化高介電常數介電層100B之含氧量以及鈍化位於界面介電層100A與鰭狀結構110之通道的界面之懸空鍵,以減少缺陷中心。因此,經由高介電常數介電層100B的氟擴散可以改善電晶體的可靠性-例如,降低負偏壓溫度不安定性(negative bias temperature instability,nBTI),漏電流(leakage current,Igi)和時依性介電層崩潰(time dependent dielectric breakdown,TDDB)。因此,操作310對於n型和p型電晶體都是有益的。
在一些實施例中,摻入於高介電常數介電層100B之氟濃度範圍可為約0.01atom%(“at.%”)至約35at.%(例如,約0.01at.%至約1at.%,約0.5at.%至約5at.%,約3at.%至約10at.%,8at.%至約25at.%)取決於製程參數,例如含氟氣體500的種類,加工溫度,環境壓力和浸漬時間。舉例來說,對於給定的浸漬時間(例如,約1分鐘),較高加工溫度(例如,約300℃)和環境壓力(例如,約50Torr)的組合可以導致較高的氟濃度。相反地,對於相同的浸漬時間(例如,約1分鐘),較低加工溫度(例如,約200℃)和環境壓力(例如,約1Toor)的組合可以導致較低的氟濃度。
作為示例而非限制,可以原位(in-situ)或非原位(ex-situ)執行操作310。舉例來說,可在沉積高介電常數介電層100B之後,在沒有真空破壞的情況下執行操作 310,或者在獨立操作之反應器中進行真空破壞後執行操作310。如果原位執行操作310,則高介電常數介電層100B的沉積反應器可配備氣體輸送管線,用於輸送含氟氣體500。
根據一些實施例,在操作310之後,氟濃度的峰值接近高介電常數介電層100B的表面以及漸進地朝向高介電常數介電層100B與界面介電層100A之間的界面逐漸變小。在一些實施例中,該氟濃度峰值位於距離高介電常數介電層100B之經氟處理之表面約1Å至約12Å的範圍裡。在一些實施例中,該峰值延伸範圍約為該高介電常數介電層100B之總厚度的約5%至約75%。
在一些實施例中,可以藉由適用於n型和p型電晶體的選擇性之退火操作來修飾高介電常數介電層100B和界面介電層100A內的氟分佈。作為示例而非限制,如果執行選擇性之退火操作,則在高介電常數介電層100B上沉積犧牲屏障層以防止退火期間氟的外擴散。可以在退火之後移除除犧牲屏障層。
在一些實施例中,沉積犧牲屏障層,退火操作,及移除犧牲屏障層皆為選擇性之操作,執行這些操作可進一步調節高介電常數介電層100B和界面介電層100A內的氟分佈(例如,將氟原子更深地驅入閘極介電堆疊中)。這些選擇性操作對應至方法300的操作315-325如第3A圖所示。
在操作315中,可沉積犧牲屏障層600於高介電常數介電層100B上,如第6圖所示。作為示例而非限制,犧牲屏障層600可為矽層或氮化鈦層,其隨後可用濕蝕刻化學 物質移除之。如上所述,犧牲屏障層600之目的係為屏障或抑制氟從高介電常數介電層100B向外擴散回到高介電常數介電層100B上方的大氣環境。舉例來說,氟的外擴散可能發生在後續的退火操作。根據一些實施例,可藉由ALD或PEALD沉積犧性屏障層600其具有一厚度範圍約5Å至約100Å(例如:約5Å至約10Å,約5Å至約20Å,約15Å至約30Å)。低於5Å的犧牲屏障層可能無法防止氟的外擴散。即便厚度大於約100Å的犧牲屏障層可以防止氟的外擴散,其將需要更長的沉積時間和移除過程。
參考第3A圖,方法300可接續選擇性之退火操作320,在一些實施例中,在一溫度範圍約70℃至約550℃(例如,約70℃,約100℃,約200℃,約250℃,約325℃等)執行選擇性之退火操作320約2秒至約300秒,將氟原子“驅入”到界面介電層100A,在一些實施例中,執行選擇性之操作320於氮氣環境中,於成形氣體環境中(例如氮氣/氫氣混合氣體),於氨氣環境中,或於稀釋氧氣環境中(例如,氧氣/氮氣混合物)。根據一些實施例,在退火操作320之後,氟濃度的分佈可更深地移動到高介電常數介電層100B中(例如,朝向與界面介電層100A的界面)。舉例來說,第7圖係針對高介電常數介電層100B/界面介電層100A堆疊,由二次離子質譜儀圖(SIMS)取得的二個氟分佈,根據一些實施例。第7圖中的Y軸代表氟原子濃度,以及X軸代表堆疊深度,其係為高介電常數介電層100B/界面介電層100A堆疊-其具有高介電常數介電層100B作為頂層及界面 介電層100A作為底層。第7圖展示在高介電常數介電層100B及界面介電層100A內的二個不同氟分佈,其為有獲得或未獲得選擇性之退火操作320。舉例來說,如第7圖所示,執行氟化操作310之後,在高介電常數介電層100B和界面介電層100A(其相對厚度和位置由垂直虛線表示)的氟分佈如氟分佈700所示。另一方面,執行選擇性之退火操作320之後,高介電常數介電層100B和界面介電層100A的氟分佈如氟分佈710所示。根據一些實施例,相較在氟化操作310期間取得的“原始”氟分佈700,氟分佈710(例如,在選擇性之退火操作320之後)產生更大的半高寬(FWHM)。換句話說,氟分佈710“更寬”並且更均勻地分佈在高介電常數介電層100B內(例如,與氟分佈700相比)並且更深地延伸到高介電常數介電層100B及界面介電層100A中。
在一些實施例中,選擇性之退火操作320不超過約550℃的溫度,以避免連續高溫處理破壞高介電常數介電層100B,界面介電層100A和基板120。舉例來說,較低的退火溫度(例如,低於約550℃)將選擇性之退火操作320的總熱預算保持在低水平。根據一些實施例,較低的退火溫度可能需要較長的退火時間來達到第7圖的氟分佈710。相反地,較高的退火溫度可能需要較短的退火時間來達到第7圖中的氟分佈710。在一些實施例中,不同組合的退火溫度和退火時間可能導致與第7圖中所示氟分佈710相似或不同的氟分佈。舉例來說,一種退火時間和溫度之組合可能提供一種氟分佈其介於氟分佈700和氟分佈710。
根據一些實施例,如果需要驅入氟過程並且執行選擇性之退火操作320,則操作310的製程溫度可為較低(例如,接近約70℃)使得兩個操作的集體熱預算保持在低水平。例如,有選作退火操作320,則可設置操作310的溫度在約70℃,而可以在約250℃執行選擇性之退火操作320。另一方面,沒有選作退火操作320,則操作310的溫度可以設置得更高(例如,於約300℃)。
選作退火操作320之後,根據方法300的操作325,移除犧牲屏障層600,如第3A圖所示。作為示例而非限制,可藉由濕式蝕刻化學移除犧牲屏障層600,例如一種溶液其包含鹽酸,過氧化氫和水(HPM);氫氧化銨;磷酸;及/或氫氟酸。根據一些實施例,移除犧牲屏障層600之後,可以繼續形成部分加工的閘極堆疊100。
如上所述,操作315、320及325為選擇性的及其配置係為加強減少缺陷中心,透過鈍化位於高介電常數介電層100B和界面介電層100A之界面之氧含量和界面介電層100A之懸空鍵-因此改善n型和p型電晶體的可靠性。在一些實施例中,方法300可以執行操作310,然後接續操作330。
方法300接續操作330,其中沉積覆蓋層100C和阻障層100D於高介電常數介電層100B上,如第1圖所示。在一些實施例中,覆蓋層100C和阻障層100D由保形沉積方法毯覆式沉積而成,例如ALD,PEALD,化學氣相沉積(CVD),電漿化學氣相沉積(PECVD)等。
方法300接續操作335,其中暴露(或浸漬)阻障層100D於含氟氣體800,如第8圖所示。在一些實施例中,氟化操作335近似於氟化操作310。舉例來說,氟化操作335中含氟氣體800可為F2、NF3、WF6、CHF3、CF4、SF6、C2F6或上述組合。再者,氟化操作335的加工溫度可為介於約70℃至約950℃,及浸漬時間範圍可為約1s至約30min。再者浸漬環境之壓力範圍可為約0.5Torr至約150Torr。
在一些實施例中,取決於加工條件(例如:含氟氣體的種類,浸漬時間,製程壓力和溫度),可摻入阻障層100D之總氟濃度可介於約0.01at.%至約35at.%的範圍。在一些實施例中,氟化阻障層100D增加其功函數並隨後降低p型電晶體的臨界電壓。作為示例而非限制,氟濃度越高,所得到p型電晶體的臨界電壓值越低。在一些實施例中,摻入氟濃度約35at.%以上不為p型電晶體提供實質的臨界電壓益處-例如,它不會進一步降低p型電晶體的臨界電壓值。
在一些實施例中,為了"驅入"氟原子經過覆蓋層100C朝向高介電常數介電層100B和界面介電層100A,方法300包含選擇性之操作340-350,如第3B圖所示。舉例來說,以及參考第3B圖,方法300可接續選擇性之操作340及沉積犧牲屏障層900於阻障層100D上如第9圖所示。在一些實施例中,操作340之犧牲屏障層900類近於操作315之犧牲屏障層600如第6圖所示。舉例來說,操作340之犧牲屏 障層900可包含矽層或氮化鈦層,其隨後可用濕蝕刻化學物質移除之。接著,操作340之犧牲屏障層900可使用ALD或PEALD沉積而成,其具有一厚度介於約5Å至約100Å(例如約5Å至約10Å,約5Å至約20Å,約15Å至約30Å)的範圍,在一些實施例中,低於5Å的犧牲屏障層可能無法防止氟的外擴散,以及厚度大於約100Å之犧牲屏障層需要更長的沉積時間和移除過程-其可能增加製程時間和IC製造成本。
在一些實施例中,如第3B圖所示之退火操作345類似於操作320。舉例來說,在一些實施例中,執行選擇性之退火操作345於氮氣環境中,於成形氣體環境中(例如氮氣/氫氣混合氣體),於氨氣環境中,或於稀釋氧氣環境中(例如,氧氣/氮氣混合物)其在一溫度範圍介於約70℃至約550℃(例如,約70℃,約100℃,約200℃,約250℃,約325℃等)約2秒至約300秒以“驅入”氟原子朝向覆蓋層100℃,高介電常數介電層100B及界面介電層100A。根據一些實施例,退火操作345之後,氟濃度的分佈更深地移動到阻障層100D,覆蓋層100C和高介電常數介電層100B中。
參考第3B圖,方法300接續操作350,其中使用濕式蝕刻化學移除在操作340中沉積而成的犧牲層900。在一些實施例中,犧牲屏障層移除操作350類似於操作325。作為示例而非限制,HPM(例如:一溶液包含鹽酸,過氧化氫和水),氫氧化銨,磷酸及/或氫氟酸可用於移除操作350中的犧牲屏障層。
第10圖係為SIMS圖之二個氟分佈,其位於阻 障層100D,覆蓋層100C,高介電常數介電層100B,以及界面介電層100A內。第10圖的y軸係代表氟原子濃度以及x軸代表堆疊的深度一該堆疊具有功函數堆疊100E作為頂層及界面介電層100A作為底層。第10圖中展示二個不同的氟(濃度)分佈,其為有獲得或未獲得選擇性之退火操作345。舉例來說,如第10圖所示,氟化操作335之後,氟分佈1000代表阻障層100D(其相對厚度和位置由垂直虛線表示)內的氟濃度。另一方面,選擇性之退火操作345之後,氟分佈1010代表阻障層100D內的氟濃度。根據一些實施例,相較在氟化操作310期間取得的“原始”氟分佈1000,氟分佈1010(例如:選擇性之退火操作345之後)產生較大的半高寬(FWHM)。如結果所示,氟分佈1010延伸至覆蓋層100C,高介電常數介電層100B,及界面介電層100A。在選擇性之退火操作345期間,因氟原子擴散而導致較寬的氟分佈1010。在一些實施例中,氟分佈1010的尾巴可以觸及界面介電層100A。氟原子擴散到上述堆疊中的深度取決於選擇性之退火操作345的工藝條件。舉例來說,較長的退火時間(例如:大約300s)以及較高的退火溫度(例如:大約550℃)傾向產生較寬之氟濃度分佈(例如:類似氟分佈1010),然而較短的退火時間(例如:大約2s)以及較低的退火溫度(例如:大約70℃)傾向產生較狹之氟濃度分佈(例如:相較氟分佈1010為較狹以及相較氟分佈1000為較寬)。
如上所述,操作340、345及350為選擇性之操作以及其配置係為"驅入"摻入的氟原子於阻障層100D。在 一些實施例中,方法300可執行操作335,然後直接進行至操作355。
方法300接續操作355,其中沉積第n層功函數層於阻障層100D上,如第1圖和第2圖所示。沉積的功函數層的數量“n”介於1到15的範圍內,這取決於所需不同臨界電壓的電晶體之數量。舉例來說,當“n”為5時,可以沉積總共5個不同的功函數層(例如,WF1,WF2,WF3,WF4,WF5層)以製造總共6(n+1)個不同的臨界電壓元件,一個子集如第2圖所示。在一些實施例中,以保形沉積方法毯覆式沉積功函數層,例如ALD,PEALD,CVD,PECVD等。
在一些實施例中,光刻工藝可用於在p型電晶體中實現不同數量的功函數層,以產生具有不同標稱臨界電壓的器件。舉例來說,當其他p型電晶體接收額外的功函數層時,使用光阻掩蔽具有較少數量之功函數層的n型或p型電晶體。或者,可在沉積功函數層之前,掩蔽具有較少數量之功函數層的n型或p型電晶體,而未掩蔽之電晶體可完成功函數的沉積。
在一些實施例中,將選擇性之氟化操作應用於部分加工的閘極堆疊100的功函數層,以引入額外的氟含量,其可以進一步降低p型電晶體的臨界電壓。舉例來說,方法300之選擇性之氟化操作360中,將功函數層WFn(例如:WF1,及/或WF2,及/或WF3,及/或WF4,及/或WF5)暴露(浸漬)於含氟氣體,該功函數層WFn由先前操 作335沉積而來。在一些實施例中,氟化操作360類似於氟化操作310和335。舉例來說,氟化操作360的含氟氣體可為F2、NF3、WF6、CHF3、CF4、SF6、C2F6或上述組合。再者,氟化操作360的加工溫度可包含介於約70℃至約950℃的範圍,而浸漬溫度範圍可為約1s至約30min。再者,浸漬之環境壓力範圍可為約0.5Torr至約150Torr。第11圖展示氟化操作360,其中第n層功函數層(例如:WF1及/或WF2及/或WF3及/或WF4及/或WF5)及/或暴露功函數堆疊100E(例如:浸漬)於含氟氣體1100。
在一些實施例中,執行氟化操作360之後,可執行退火操作,例如退火操作320及345。
在一些實施例中,可以選擇性地執行氟化操作360僅於功函數層上,以選擇性降低所形成p型電晶體的子集之臨界電壓。舉例來說,可執行操作360於功函數層WF1上以選擇性降低p型電晶體220之臨界電壓如第2圖所示。在其他例子中,可執行操作360於功函數層WF2上以選擇性降低p型電晶體210及220之臨界電壓如第2圖所示。如果功函數層WF2經歷氟化操作360,p型電晶體210將獲得相較p型電晶體220較低之臨界電壓,因為相較於p型電晶體220中的功函數層WF2,p型電晶體210中的功函數層WF2較靠近鰭狀結構110的通道區。
根據一些實施例,第12圖係為SIMS圖,呈現位於功函數堆疊100E內之氟濃度,其為二個式例氟分佈1200及氟分佈1210。如果選擇性地在功函數層WF1執行方 法300之氟化操作360,可獲得氟分佈1200。接著,如果在沉積每一個功函數層(例如:沉積WF1之後,沉積WF2之後,沉積WF3之後,沉積WF4之後,沉積WF5之後)之後,執行方法300之氟化操作360,可獲得氟分佈1210。如此一來,在氟分佈1210中,每個功函數層(Wn)具有自己的氟峰,其對應於每個氟化操作360。根據一些實施例,氟分佈1210增加所有功函數層的有效功函數(例如,WF1,WF2,WF3,WF4和WF5)。
在一些實施例中,在操作355或選擇性之氟化操作360之後,可沉積金屬閘層100F,如操作370所示。在一些實施例中,毯覆式沉積金屬閘層100F於阻障層100D或功函數堆疊100E上。如上所述,金屬閘層100F可包含鈦鋁合金或鉭鋁合金。在一些實施例中,部分加工的閘極堆疊100包含額外層,例如金屬或金屬填充層,黏著層,接觸層等。在一些實施例中,沉積金屬填充於金屬閘層100F上,以填充相鄰鰭狀結構110之間的區域。
在一些實施例中,可以在p型及n型電晶體中執行氟化操作310和335,使得兩種類型的電晶體都可以受益於閘極堆疊內高水平的氟含量。舉例來說,n型電晶體中高水平的氟含量可以改善高介電常數介電層100B及界面介電層100A的品質,該改善係透過移除移除碳,氯,或其他副產物,其源自高介電常數介電層100B的沉積過程,以及透過降低缺陷中心其位於高介電常數介電層100B與界面介電層100A的界面如上述討論。另一方面,氟化操作360可以 保留用於p型電晶體-然而,非受限於此,n型電晶體可以接收氟化操作360而對其臨界電壓產生最小影響。
在一些實施例中,參考第2圖,第3A圖及第3B圖,可在下列步驟之後,執行方法300中氟化操作310及335及360,在沉積界面介電層100A之後,在氮化界面介電層100A之後,在沉積高介電常數介電層100B之後,在沉積阻障層100D之前或之後,在沉積功函數層WF1之後,在沉積功函數層WF2之後,在沉積功函數層WF3之後,在沉積功函數層WF4之後,在沉積功函數層WF5之後,或所述組合。
在一些實施例中,可以調整控制氟化操作310,335及360的製程參數,其根據閘極堆疊所需的摻入氟量,閘極堆疊所需的氟分佈,熱預算考量,製造階段,以及形成部分加工的閘極堆疊100所需氟化操作的總次數。
在一些實施例中,當在阻障層100D附近執行氟化操作時,氟化操作在降低p型電晶體的臨界電壓值方面之有效性更強,而當進一步遠離阻障層100D執行氟化操作時,氟化操作的有效性逐漸減弱(例如,在功函數層WF5)。
在一些實施例中,方法300不限用於finFETs且可應用於其他種p型電晶體,例如環繞式閘極(Gate All Around,GAA)電晶體包含(例如,橫向環繞式閘極(Lateral Gate All Around,LGAA)電晶體,垂直環繞式閘極(Vertical Gate All Around,VGAA)電晶體等),或任何電晶體其可受益於閘極堆疊之氟濃度增加。
在一些實施例中,可直接沉積功函數堆疊100E 於高介電常數介電層100B上,例如,在其間沒有覆蓋層及/或阻障層。這可藉由跳過覆蓋層100C及/或阻障層100D的沉積來實現(例如,藉由跳過方法300之操作330)。或者,這也可以通過額外的處理來實現,例如在沉積功函數堆疊100E之前,利用濕式蝕刻化學及/或乾式蝕刻處理移除覆蓋層100C及阻障層100D。舉例來說,參考方法300,在操作355沉積功函數之前,一個濕或乾蝕刻方法可移除覆蓋層100C及/阻障層100D。在一些實施例中,該濕式蝕刻化學可包括HPM,氫氧化銨,磷酸及/或氫氟酸。該乾蝕刻方法可包含氯化物或含氟氣體,例如六氟化鎢(WF6),五氯化鎢(WCl5),六氯化鎢(WCl6),氯化鉭或四氯化鈦(TiCl4)。
本揭露之實施例涉及一種用於電晶體之閘極堆疊層的氟化方法,其實現摻入氟濃度約0.01at.%至約35at.%。另外,本揭露之一實施例所述之氟化方法可以應用於閘極堆疊之一或多個層,包括界面介電層,高介電常數介電層,阻障層及閘極堆疊中任何功函數層。再者,如此所述之閘極堆疊層內摻入氟,其不涉及離子移植或高能量(例如電漿)處理,其可能破壞閘極堆疊層。在一些實施例中,該氟化方法包含將一或多個閘極堆疊層浸漬於含氟氣體(例如:氟氣,三氟化氮,三氟甲烷,四氟甲烷,六氟化硫,六氟乙烷或上述組合)其在介於約70℃至約950℃的溫度。如果在閘極介電執行氟化方法,則可執行選擇性的退火操作以調節氟分佈,其位於閘極介電層(複數層),阻障層,功函數層(複數層)內。可使用犧牲屏障層來防止退火操作時氟的外 擴散,在一些實施例中,對於n型及p型電晶體而言,摻入氟於閘極堆疊層中可以改善高介電常數介電層及界面介電層之品質及可靠性。在一些實施例中,摻入氟於阻障層及/或功函數層改善阻障層及/或功函數層的有效功函數,因此降低p型電晶體的臨界電壓,以及對於n型和p型電晶體而言,也部份地改善其閘極介電層之品質和可靠性。
在一些實施例中,一種方法包含形成鰭狀結構於基板上以及形成閘極介電堆疊於該鰭狀結構上,其中該閘極介電堆疊包含高介電常數介電層設置於界面介電層上。該方法另包含將高介電常數介電層浸漬於含氟氣體以及沉積覆蓋層於高介電常數介電層上。
在一些實施例中,含氟氣體包含氟氣(F2)、三氟化氮(NF3)、六氟化鎢(WF6)、三氟甲烷(CHF3)、四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)或上述組合。
在一些實施例中,將高介電常數介電層浸漬於含氟氣體包含在介於約70℃至約950℃的一溫度下加熱基板。
在一些實施例中,將高介電常數介電層浸漬於含氟氣體包含在介於約0.5Torr至約150Torr之間的一壓力環境下浸漬高介電常數介電層。
在一些實施例中,沉積功函數層於覆蓋層上;以及將功函數層浸漬於含氟氣體中。
在一些實施例中,在沉積功函數層之前,沉積阻障層於覆蓋層上;以及將阻障層在介於約70℃至約950 ℃的一溫度下浸漬於含氟氣體。
在一些實施例中,沉積犧牲屏障層於阻障層上;在介於約70℃至約550℃的一溫度下對基板進行退火;以及移除犧牲屏障層。
在一些實施例中,在沉積覆蓋層之前:沉積一犧牲屏障層於高介電常數介電層上;在介於約70℃至約550℃的一溫度下對基板進行退火;以及移除犧牲屏障層。
在一些實施例中,犧牲屏障層包含矽(Silicon)或氮化鈦(Titanium nitride),其具有一厚度介於約5Å至約30Å。
在一些實施例中,退火基板包含退火基板於氮氣環境,於成形氣體環境,於氨氣環境,或於稀釋氧氣環境。
在一些實施例中,電晶體結構包含具有鰭狀結構之基板。電晶體結構另包含閘極介電堆疊於鰭狀結構上,其中閘極介電堆疊包含界面介電層以及在界面介電層之上的高介電常數介電層,其具有氟濃度介於約0.01atomic%至約35atomic%。電晶體也包含一或多層功函數層,位於閘極介電堆疊上。
在一些實施例中,一或多層功函數層中至少一層包含一氟濃度介於0.01atomic%至約35atomic%。
在一些實施例中,閘極介電堆疊中的氟濃度之一分佈朝向高介電常數介電層與界面介電層之間的一界面逐漸變小,包含一氟濃度峰值位於高介電常數介電層與界面相對之一表面約1Å至約12Å。
在一些實施例中,閘極介電堆疊中的氟濃度之一分佈於界面介電層內逐漸變小以及包含一氟濃度峰值,峰值的延伸範圍為高介電常數介電層總厚度的約5%至約75%。
在一些實施例中,所述之電晶體結構,另包含一覆蓋層以及一阻障層,其設於閘極介電堆疊與一或多個功函數層之間,其中阻障層包含一氟濃度介於約0.01atomic%至約35atomic%。
在一些實施例中,一個方法包含形成界面介電層於複數鰭狀結構上,複數鰭狀結構設置於基板上,沉積高介電常數介電層於界面介電層上,沉積一或多層功函數層於高介電常數介電層上,在介於約70℃至約950℃的溫度下加熱基板,以及將一或多層功函數層中至少一層浸漬於含氟氣體。
在一些實施例中,如申請專利範圍第16項所述之方法,其中含氟氣體包含氟氣(F2)、三氟化氮(NF3)、六氟化鎢(WF6)、三氟甲烷(CHF3)、四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)、或上述組合。
在一些實施例中,在沉積高介電常數介電層之前,將界面介電層浸漬於含氟氣體並同時加熱基板。
在一些實施例中,將一或多層功函數層中至少一層浸漬於含氟氣體包含在介於約0.5Torr至約150Torr之間的一壓力環境下浸漬一或多層功函數層中至少一層。
在一些實施例中,在沉積一或多層功函數層之 前,沉積阻障層於高介電常數介電層上;將阻障層在介於約70℃至約950℃的一溫度下浸漬於含氟氣體;沉積一犧牲屏障層於阻障層上;在介於約70℃至約550℃的一溫度下對基板進行退火;以及移除犧牲屏障層。
應當理解的是,詳細描述部份而非發明摘要為用於解釋申請專利範圍。發明摘要的部分可闡述所考慮的一或多個實施例,但不是所有的例示性實施例,因此不意圖限制所附上的申請專利範圍。
以上概略說明數個實施例的特徵,使本技術領域中具有通常知識者可更容易理解本揭露之一實施例。本技術領域中具有通常知識者應理解可採用本揭露之實施例作為基礎,以設計並變化其他製程和結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本揭露之實施例的精神與範疇的前提下進行改變、置換、及更動。
100A:界面介電層
100B:高介電常數介電層
110:鰭狀結構
120:基板
130:隔離區
140:鰭狀結構到鰭狀結構之間距
500:含氟氣體

Claims (10)

  1. 一種形成半導體結構的方法,包含:形成一鰭狀結構於一基板上;形成一閘極介電堆疊於該鰭狀結構上,其中該閘極介電堆疊包含一高介電常數介電層,該高介電常數介電層設於一界面介電層上;將該高介電常數介電層浸漬於一含氟氣體中,其中該高介電常數介電層具有氟濃度介於8atomic%至約35atomic%;沉積一覆蓋層於該高介電常數介電層上,其中該覆蓋層吸收該閘極介電堆疊中的氧氣;沉積一功函數層於該覆蓋層上;以及將該功函數層浸漬於該含氟氣體中,其中該功函數層包含一氟濃度介於0.01atomic%至約35atomic%。
  2. 如申請專利範圍第1項所述之方法,其中該含氟氣體包含氟氣(F2)、三氟化氮(NF3)、六氟化鎢(WF6)、三氟甲烷(CHF3)、四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)、或上述組合。
  3. 如申請專利範圍第1項所述之方法,其中將該高介電常數介電層浸漬於該含氟氣體包含在介於約70℃至約950℃的一溫度下加熱該基板。
  4. 如申請專利範圍第1項所述之方法,其中 在沉積該功函數層之前:沉積一阻障層於該覆蓋層上;以及將該阻障層在介於約70℃至約950℃的一溫度下浸漬於該含氟氣體。
  5. 一種電晶體結構,包含:一基板;一鰭狀結構於該基板上;一閘極介電堆疊於該鰭狀結構上,其中該閘極介電堆疊包含:一界面介電層;以及一高介電常數介電層於該界面介電層上,其具有一氟濃度介於約8atomic%至約35atomic%;以及一或多個功函數層於該閘極介電堆疊上,其中該一或多層功函數層中至少一層包含一氟濃度介於0.01atomic%至約35atomic%。
  6. 如申請專利範圍第5項所述之電晶體結構,其中該閘極介電堆疊中的該氟濃度之一分佈於該界面介電層內逐漸變小以及包含一氟濃度峰值,該峰值的延伸範圍為該高介電常數介電層總厚度的約5%至約75%。
  7. 如申請專利範圍第5項所述之電晶體結構,其中該閘極介電堆疊中的該氟濃度之一分佈朝向該高介電常數介電層與該界面介電層之間的一界面逐漸變小, 包含一氟濃度峰值位於該高介電常數介電層與該界面相對之一表面約1Å至約12Å。
  8. 一種形成半導體結構的方法,包含:形成一界面介電層於數個鰭狀結構上,該些鰭狀結構設於一基板上;沉積一高介電常數介電層於該界面介電層上;將該高介電常數介電層浸漬於一含氟氣體中,其中該高介電常數介電層具有氟濃度介於8atomic%至約35atomic%;沉積一或多個功函數層於該高介電常數介電層上;在介於約70℃至約950℃的一溫度下加熱該基板;以及將該一或多層功函數層中至少一層浸漬於一含氟氣體並同時加熱該基板,其中該一或多層功函數層中至少一層具有氟濃度介於0.01atomic%至約35atomic%。
  9. 如申請專利範圍第8項所述之方法,其中該含氟氣體包含氟氣(F2)、三氟化氮(NF3)、六氟化鎢(WF6)、三氟甲烷(CHF3)、四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)、或上述組合。
  10. 如申請專利範圍第8項所述之方法,另包含,在沉積該高介電常數介電層之前,將該界面介電層浸漬於該含氟氣體並同時加熱該基板。
TW108132115A 2018-09-26 2019-09-05 電晶體結構及形成半導體結構的方法 TWI744690B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862736766P 2018-09-26 2018-09-26
US62/736,766 2018-09-26
US16/376,432 US11088029B2 (en) 2018-09-26 2019-04-05 Gate stack treatment
US16/376,432 2019-04-05

Publications (2)

Publication Number Publication Date
TW202013441A TW202013441A (zh) 2020-04-01
TWI744690B true TWI744690B (zh) 2021-11-01

Family

ID=69725329

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108132115A TWI744690B (zh) 2018-09-26 2019-09-05 電晶體結構及形成半導體結構的方法

Country Status (5)

Country Link
US (3) US11088029B2 (zh)
KR (1) KR102263322B1 (zh)
CN (1) CN110957358B (zh)
DE (1) DE102019109861A1 (zh)
TW (1) TWI744690B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11417517B2 (en) 2019-05-03 2022-08-16 Applied Materials, Inc. Treatments to enhance material structures
US11462626B2 (en) * 2019-10-29 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11264478B2 (en) 2019-10-31 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with reduced defect and methods forming same
US11437240B2 (en) 2020-08-05 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structure and method of forming
US11437474B2 (en) 2020-08-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
KR102634254B1 (ko) * 2020-11-18 2024-02-05 어플라이드 머티어리얼스, 인코포레이티드 반도체 구조를 형성하는 방법 및 이의 처리 시스템
US20230066477A1 (en) * 2021-08-31 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
US20230253209A1 (en) * 2022-02-09 2023-08-10 Nanya Technology Corporation Semiconductor device with protection layer and method for fabricating the same
US20230253210A1 (en) * 2022-02-09 2023-08-10 Nanya Technology Corporation Semiconductor device with protection layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080135984A1 (en) * 2006-12-12 2008-06-12 Yong-Ho Oh Semiconductor device
US20080164539A1 (en) * 2007-01-10 2008-07-10 Interuniversitair Microelektronica Centrum (Imec) Use of f-based gate etch to passivate the high-k/metal gate stack for deep submicron transistor technologies
CN106711034A (zh) * 2015-08-31 2017-05-24 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107170683A (zh) * 2016-03-08 2017-09-15 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
TW201732904A (zh) * 2015-12-15 2017-09-16 台灣積體電路製造股份有限公司 半導體裝置之製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10345186B4 (de) * 2003-09-29 2007-10-25 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung eines Metall-Oxid-Halbleiter Feldeffekttransistors und Metall-Oxid-Halbleiter Feldeffekttransistor
US8809175B2 (en) * 2011-07-15 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of anneal after deposition of gate layers
CN103681276B (zh) * 2012-09-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 金属栅极、mos晶体管及cmos结构分别的形成方法
US9978601B2 (en) * 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
US9502307B1 (en) * 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US10580643B2 (en) * 2016-02-16 2020-03-03 Applied Materials, Inc. Fluorination during ALD high-k, fluorination post high-k and use of a post fluorination anneal to engineer fluorine bonding and incorporation
CN107564863B (zh) * 2016-06-30 2020-10-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
KR102553260B1 (ko) * 2016-08-03 2023-07-07 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US10522344B2 (en) * 2017-11-06 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with doped gate dielectrics

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080135984A1 (en) * 2006-12-12 2008-06-12 Yong-Ho Oh Semiconductor device
US20080164539A1 (en) * 2007-01-10 2008-07-10 Interuniversitair Microelektronica Centrum (Imec) Use of f-based gate etch to passivate the high-k/metal gate stack for deep submicron transistor technologies
CN106711034A (zh) * 2015-08-31 2017-05-24 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
TW201732904A (zh) * 2015-12-15 2017-09-16 台灣積體電路製造股份有限公司 半導體裝置之製造方法
CN107170683A (zh) * 2016-03-08 2017-09-15 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法

Also Published As

Publication number Publication date
TW202013441A (zh) 2020-04-01
US11088029B2 (en) 2021-08-10
KR102263322B1 (ko) 2021-06-15
US20230268231A1 (en) 2023-08-24
US20200098640A1 (en) 2020-03-26
US11670553B2 (en) 2023-06-06
CN110957358A (zh) 2020-04-03
US20210366778A1 (en) 2021-11-25
DE102019109861A1 (de) 2020-03-26
CN110957358B (zh) 2024-02-06
KR20200035837A (ko) 2020-04-06

Similar Documents

Publication Publication Date Title
TWI744690B (zh) 電晶體結構及形成半導體結構的方法
CN111128737B (zh) 制造半导体器件的方法和半导体器件
US11024627B2 (en) High-K metal gate transistor structure and fabrication method thereof
CN111261522B (zh) 制造半导体器件的方法和半导体器件
US11855164B2 (en) Semiconductor device and fabrication method thereof
US11257924B2 (en) Metal gate using monolayers
US10090396B2 (en) Method for fabricating metal gate devices and resulting structures
US11699621B2 (en) Method for patterning a lanthanum containing layer
CN108878291B (zh) 形成低k间隔件的方法
TW201735190A (zh) 半導體裝置及其製造方法
CN107346783B (zh) 半导体结构及其制造方法
KR20170128170A (ko) 삽입 층을 구비한 반도체 구조체 및 이를 제조하는 방법
TW202036688A (zh) 製造半導體元件之方法以及半導體元件
TWI736379B (zh) 半導體結構及其形成方法
CN108573868B (zh) 半导体结构及其形成方法
KR20230009808A (ko) 반도체 디바이스의 게이트 구조체 및 그 형성 방법
CN116565015A (zh) 半导体结构及其形成方法