TWI650886B - 非揮發性金屬材料之蝕刻方法 - Google Patents

非揮發性金屬材料之蝕刻方法 Download PDF

Info

Publication number
TWI650886B
TWI650886B TW104109675A TW104109675A TWI650886B TW I650886 B TWI650886 B TW I650886B TW 104109675 A TW104109675 A TW 104109675A TW 104109675 A TW104109675 A TW 104109675A TW I650886 B TWI650886 B TW I650886B
Authority
TW
Taiwan
Prior art keywords
etching
metal
laminate
cycles
layer
Prior art date
Application number
TW104109675A
Other languages
English (en)
Other versions
TW201608748A (zh
Inventor
美華 沈
哈密特 席恩
暹華 陳
傑弗瑞 馬克思
托爾斯滕 立爾
理查P 兼內克
楊文兵
普力圖 沙瑪
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201608748A publication Critical patent/TW201608748A/zh
Application granted granted Critical
Publication of TWI650886B publication Critical patent/TWI650886B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/08Apparatus, e.g. for photomechanical printing surfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

提供一種以一或更多循環蝕刻疊層之方法,該疊層具有至少一金屬層。執行一起始步驟,將該至少一金屬層的部分轉變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置。執行一反應步驟,該反應步驟提供一或更多的循環,其中各循環包含提供有機溶劑蒸體來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態,並提供有機配位基溶劑來形成揮發性有機金屬化合物。執行該揮發性有機金屬化合物的脫附。

Description

非揮發性金屬材料之蝕刻方法 【相關申請案的交互參照】
本申請案依據美國專利法第119(e)條,主張美國專利臨時申請案第61/971032號之優先權,其申請日為2014年3月27日,案名為”METHODS TO ETCH AND TO REMOVE POST ETCH METALLIC RESIDUE”,該案係針對所有目的加入於此做為參考。
本發明係關於在半導體裝置的生產期間,經由遮罩來蝕刻非揮發性材料層。更具體而言,本發明係關於蝕刻金屬層。
在半導體晶圓製造期間,特徵會經由金屬層被蝕刻出來。在磁阻式隨機存取記憶體(MRAM)或電阻式隨機存取記憶體(RRAM)裝置的形成中,可能會連續地蝕刻多個薄金屬層或薄膜。以MRAM來說,多個薄金屬層可用來形成金屬穿隧接面疊層。
在一傳統反應式離子蝕刻機台(RIE)中,由於蝕刻副產物的低揮發性,要圖案化非揮發性金屬材料(例如MRAM)係有挑戰性的。非揮發性的側壁鈍化可能造成跨越磁性穿隧接面區域的裝置短路,以及電子性能的降解。目前在MRAM的圖案化中使用離子束蝕刻(IBE)來清理側壁,並維持材料的完整性。然而,IBE對於具有高圖案密度的先進製程節點來說,仍受限於深寬比(<2:1)。
為達到前述以及根據本發明之目的,提供一種以一或更多循環蝕刻疊層之方法,該疊層具有至少一金屬層。執行一起始步驟,將該至少一金屬層的部分轉變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置。執行一反應步驟,該反應步驟提供一或更多的循環,其中各循環包含提供有機溶劑蒸體來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態,並提供有機配位基溶劑來形成揮發性有機金屬化合物。執行該揮發性有機金屬化合物的脫附。
在本發明的其他操作中,提供具有至少一金屬層的MRAM疊層之蝕刻方法(疊層設置在穿隧層之下,而穿隧層設置在遮罩之下)。蝕刻該穿隧層。在該蝕刻穿隧層上形成一間隔層。該間隔層為開通的。以一或更多的循環去蝕刻該至少一金屬層,其中各循環包括執行一起始步驟,將至少一金屬層的部分變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置;執行一反應步驟,該反應步驟提供一或更多的循環,其中各循環包含提供有機溶劑氣體來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態,並提供有機配位基溶劑來形成揮發性有機金屬化合物,並執行該揮發性有機金屬化合物的脫附。
本發明的該等及其他特徵,將在下列本發明的實施方式中參考隨附圖式,更詳細地描述。
104‧‧‧提供具有金屬層之疊層
108‧‧‧起始步驟
112‧‧‧反應步驟
116‧‧‧脫附步驟
120‧‧‧繼續
200‧‧‧疊層
204‧‧‧基板
208‧‧‧金屬層
212‧‧‧遮罩
216‧‧‧改質的金屬位置
220‧‧‧有機金屬位置
224‧‧‧局部蝕刻位置
228‧‧‧改質的金屬位置
232‧‧‧有機金屬位置
300‧‧‧蝕刻反應器
306‧‧‧氣體分配板
308‧‧‧夾具
310‧‧‧加熱來源
320‧‧‧排氣幫浦
324‧‧‧離子來源
325‧‧‧溶劑來源
326‧‧‧溶劑氣化器
327‧‧‧配位基來源
328‧‧‧配位基氣化器
335‧‧‧控制器
348‧‧‧ESC來源
349‧‧‧蝕刻腔室
350‧‧‧腔壁
350‧‧‧ESC溫度控制器
400‧‧‧電腦系統
402‧‧‧處理器
404‧‧‧顯示裝置
406‧‧‧記憶體
408‧‧‧儲存裝置
410‧‧‧可攜式儲存裝置
412‧‧‧使用者介面裝置
414‧‧‧通訊介面
416‧‧‧通訊基礎設備
504‧‧‧溶劑化物改質的金屬位置步驟
508‧‧‧配位基錯合物形成步驟
600‧‧‧疊層
604‧‧‧層間介電層(ILD)
608‧‧‧底電極
612‧‧‧底部非揮發性金屬層(NVM)
616‧‧‧穿隧層
620‧‧‧頂部非揮發性金屬層(NVM)
624‧‧‧圖案化硬遮罩
626‧‧‧磁性穿隧接面(MTJ)
628‧‧‧間隔層
本發明在隨附圖式的圖案中係藉由舉例方式(非限制性)而描繪,且其中相似的參考數字符號指涉相似的元件,而其中:圖1為本發明之一實施例的高階流程圖。
圖2A-H為根據本發明之一實施例所處理的疊層的示意圖。
圖3為可使用於蝕刻的蝕刻反應器的示意圖。
圖4描繪一電腦系統,其合適於執行用於本發明之實施例中的控制器。
圖5為反應步驟的更詳細的流程圖。
圖6A-E為根據本發明之一實施例所處理的MRAM疊層的示意圖。
本發明將參考一些優選實施例來詳細描述,其中如隨附圖式所示。在下列描述中,為了提供本發明之全面性的理解,將提出許多具體細節。然而顯然地,對於本發明所屬技術領域中具有通常知識者而言,本發明毋須一些或全部的該等具體細節,即可被實施。在其他例子中,為了避免不必要地混淆本發明,熟知的製程步驟及/或結構未被詳細描述。
為了促進理解,圖1為使用於本發明之一實施例的製程的高階流程圖。提供具有一疊層的基板(其中該疊層至少具有一含金屬層)(步驟104)。提供一起始步驟(步驟108)。提供一反應步驟(步驟112)。提供一脫附步驟(步驟116)。
在本發明之優選實施例的範例中,提供具有一疊層的基板(其中該疊層至少具有一金屬層)(步驟104)。圖2A為在一基板204之上的疊層200之橫剖面圖。該疊層200包含至少一金屬層208設置在一遮罩212之下。該至少一金屬層208可包含非金屬層以及一或更多的金屬層。此外,可在該基板204與該至少一金屬層208之間設置一或更多的層。此外,可在該至少一金屬層208與該遮罩212之間設置一或更多的層。在本範例中,該至少一金屬層208為鉭(Tantalum),並做為底電極層,位在PtMn、或CoPt/CoPd層(在MRAM薄膜疊層中為固定磁性層)之下方。
在一實施例中,可在一單獨電漿蝕刻腔室中執行所有處理。圖3為可用於實行此種實施例的一蝕刻反應器的示意圖。在本發明的一或更多的實施例中,蝕刻反應器300包含位於蝕刻腔室349內之氣體分配板306(裝備有 一氣體入口)以及夾具308,該蝕刻腔室349係被腔室腔壁350所圍繞。在該蝕刻腔室349中,一基板204(疊層形成於其上)放置在該夾具308之上。該夾具308可從ESC來源348提供一偏壓,以作為固持基板304的靜電夾具(ESC),或可使用其他夾持力來固持該基板204。提供一加熱來源310(例如加熱燈)以加熱該金屬層。一離子來源324、一溶劑氣化器326、一配位基氣化器328,經由氣體分配板306連接到該蝕刻腔室349。一配位基來源327連接到該配位基氣化器328。一溶劑來源325連接到該溶劑氣化器326。一ESC溫度控制器連接到該夾具308,並提供該夾具308之溫度控制。
圖4為顯示一電腦系統400的高階方塊圖,其合適於執行用於本發明之一實施例的控制器335。該電腦系統可具有許多實體形式,範圍從積體電路、印刷電路板、以及小型手持式裝置,直到巨大超級電腦。該電腦系統400包含一或更多的處理器402,且進一步可包含一電子顯示裝置404(用來顯示圖表、文字,或其他資料)、一主記憶體406(例如隨機存取記憶體(RAM))、一儲存裝置408(例如硬碟機)、可攜式儲存裝置410(例如光碟機)、使用者介面裝置412(例如鍵盤、觸控螢幕、輔助鍵盤、滑鼠或其他指向裝置等),以及一通訊界面414(例如無線網路介面)。該通訊界面414幫助軟體和資料透過一聯結,在該電腦系統400與外接裝置之間傳輸。該系統亦可包含通訊基礎設備416(例如通信匯流排、交流桿、或網路),前面提及的裝置/模組連接到該通訊基礎設備416。
透過通訊介面414所傳輸的資訊,可以例如為下列訊號的形式,例如電子的、電磁的、光學的、或其他可以被通訊介面414接收的訊號(透過一攜帶訊號的通訊聯結),該通訊聯結可使用電線或纜線、光纖、電話線、行動電話聯結、射頻聯結、及/或其他通訊管道來執行。有了此種通訊界面,吾人認為該一或更多的處理器402可從網路接收資訊,或可在執行上述之方法步驟期間,將資訊輸出到網路。進一步而言,本發明之方法實施例,可在該處理器 上單獨地執行,或可結合遠端處理器(分擔一部分的處理)通過網路(例如網際網路)而執行。
「非暫態電腦可讀取媒體」這個用語,通常用於指稱媒體如主記憶體、輔助記憶體、可攜式儲存器,以及儲存裝置,諸如硬碟、快閃記憶體、碟片驅動機記憶體、CD-ROM或其他形式的永久記憶體,並且不應被解釋為涵蓋暫態的主體(如載波或訊號)。電腦碼的範例包含機器碼(例如由編譯器產生)、以及含高階碼的文件,其使用直譯器由電腦執行。電腦可讀取媒體亦可為經由電腦資料訊號發送的電腦碼,其收錄在載波中,並呈現一連串可經由處理器執行的指令。
提供一起始步驟(步驟108)。該起始步驟激發反應位置,將該至少一金屬層的部分轉變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置。在本實施例中,經由使用一離子流或離子束,俾提供該起始步驟,將該至少一金屬層208的部分轉變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置。在本範例中,可施加氧電漿或離子束(經由IBE)到該晶圓表面,來氧化未被遮罩遮蓋的薄膜。在其他範例中,亦可施加氯電漿或低能量惰性氣體電漿離子(low energy inert gas plasma ion)。圖2B為已經提供起始步驟(步驟108)後的疊層200之橫剖面圖。將該至少一金屬層208的未被遮罩遮蓋的表面層,暴露到離子流或離子束,並轉變成改質的金屬位置216。在本範例中,該改質的金屬位置216為轉變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置之金屬。在本範例中,離子從離子來源324提供到該蝕刻腔室349。
提供一反應步驟(步驟112)。圖5為提供一反應步驟(步驟112)之更詳細的流程圖,其提供在本發明之一實施例中。在本實施例中,該反應步驟(步驟112)包含一溶劑化物改質的金屬位置步驟(步驟504)以及一配位基錯合物形成步驟(步驟508)。在本實施例中,該溶劑化物改質的金屬位置步驟(步驟 504)在該改質的金屬位置上形成溶劑化金屬。在本實施例中,該改質的金屬位置暴露到一溶劑蒸氣。一溶劑來源325提供溶劑到溶劑氣化器326中,而該溶劑氣化器326氣化該溶劑,並提供該溶劑蒸氣到該蝕刻腔室349中。此種溶劑可為醇類(alcohols)、胺類(amine)或烴類(hydrocarbons),此種溶劑可為極性或非極性,可為鹼性或酸性。提供溶劑化金屬,有助於局部化金屬電子,並促進有機配位基的附著。在本實施例中,該配位基錯合物形成步驟(步驟508)提供配位基蒸氣,其將該溶劑化金屬轉變成有機金屬化合物。一配位基來源327提供配位基到配位基氣化器328中,而該配位基氣化器328氣化該配位基,並提供該配位基蒸氣到該蝕刻腔室349中。在本實施例中,該配位基蒸氣提供有機配位基。可用來形成配位基錯合物的有機配位基,包含乙醯丙酮酸鹽(acetylacetonate,acac)族類(例如2-(acac)-EDIM)、乙酸(acetic acid)、醯胺類(amides)、脒基(amidinates)(tBuNC(R)Net)、烯丙基(allyls)、亞乙基(ethylene)、乙炔(acetylene)、以及環戊二烯基(cyclo-pentadienyl)。在本實施例中,週期性地執行多次該溶劑化物改質的金屬位置步驟(步驟504)以及該配位基錯合物形成步驟(步驟508)。在其他實施例中,可同時執行該溶劑化物改質的金屬位置步驟以及該配位基錯合物形成步驟。當該等步驟同時執行時,配位基的濃度必定很高。圖2C為已經提供反應步驟(步驟112)後的疊層200之橫剖面圖。該改質的金屬位置已經轉變成為有機金屬位置220。在本實施例中,可使用ESC溫度控制器350來冷卻該夾具308。此外,可關閉加熱來源310,使該疊層200持續變冷,以增加蒸氣之沉積。
執行一脫附步驟(步驟116)。在本實施例中,加熱該有機金屬位置220,使該有機金屬物質脫附。可藉由加熱固持該基板204的夾具來達到加熱,或經由輻射,而直接加熱該有機金屬位置220。在本範例中,該加熱來源310使用輻射熱來直接加熱該有機金屬位置220。該ESC溫度控制器350可用來加 熱該夾具308,而該夾具308加熱該疊層200。圖2D為已經提供脫附步驟(步驟116)後的疊層200之橫剖面圖。該有機金屬位置已經藉由脫附而被移除,並留下局部蝕刻位置224。
亦可利用精密控制離子能量濺鍍(fine controlled ion energy sputtering)來實現該脫附步驟,使該有機金屬化合物脫離,但配位基不從金屬位置上脫離。
因為該至少一金屬層208只有局部地蝕刻,所以繼續該循環(步驟120),也就是回到該起始步驟(步驟108)。可使用上述之相同的起始步驟,或可改變參數。圖2E為已經提供起始步驟(步驟108)後的疊層200之橫剖面圖。將該至少一金屬層208的未被遮罩遮蓋的表面層,暴露到離子流或離子束,並轉變成改質的金屬位置228。
提供一反應步驟(步驟112)。可使用上述之相同的反應步驟,或可改變參數。圖2F為已經提供反應步驟(步驟112)後的疊層200之橫剖面圖。該改質的金屬位置已經轉變成為有機金屬位置232。
提供一脫附步驟(步驟116)。在本實施例中,加熱該有機金屬位置232,使該有機金屬物質脫附。可使用上述之相同的脫附步驟,或可改變參數。圖2G為已經提供脫附步驟(步驟116)後的疊層200之橫剖面圖。該有機金屬位置已經藉由脫附而被移除,並留下局部蝕刻位置224。
繼續該循環(步驟120),直到完成該蝕刻處理。圖2H為完成該至少一金屬層208的蝕刻後疊層200之橫剖面圖。
本實施例提供一無電漿蝕刻製程。其他實施例可在起始步驟或脫附步驟期間使用電漿。此種電將可為從一電漿來源提供到該蝕刻腔室349 的一順流式電漿,或可從現地產生,其中該蝕刻腔室349須具備一前驅物氣體來源以及一電漿激發系統。在其他實施例中,可使用O2、COS、或CH3OH的離子流來提供該起始步驟。在其他實施例中,可使用從H2O2、HClO、O3、SOCl2、NH4OH、HCHO、或CH3COOH產生的蒸氣來提供該起始步驟。在其他實施例中,在反應步驟期間可使用觸媒(catalyst)來增加反應速率。
圖6A為使用於本發明之另一實施例的疊層600之示意橫剖面圖。在此層疊600中,一層間介電層(ILD)604放置在一基板上(未顯示)。一底電極608形成在該ILD層604上。在本實施例中,該底電極608由Ta、Ti、或W形成。在其他實施例中,該底電極608亦可使用其他相似金屬。一底部非揮發性金屬(NVM)層(固定層)612形成在該底電極608上。在本實施例中,該底部NVM層612由MnPt、CoPt、CoPd、或CoFe形成。在其他實施例中,該底部NVM層612亦可使用其他相似合金。一氧化鎂(MgO)穿隧層616形成在該底部NVM層612上。一頂部NVM層620形成在該穿隧層616上。在本實施例中,該頂部NVM層620由CoFe、CoFeB、Ru、CoPt、或CoPd形成。在其他實施例中,該頂部NVM層620亦可由其他金屬或合金形成。在本實施例中,該底部NVM層612、該穿隧層616、以及該頂部NVM層620的結合,形成一磁性穿隧接面(MTJ)。一圖案化硬遮罩624形成在該頂部NVM層620上。在本實施例中,該圖案化硬遮罩624為Ta、TaN、TiN或W,且使用為一電極。在其他實施例中,亦可使用其他電極材料。
在本實施例中,使用RIE或IBE來蝕刻該頂部NVM層620以及該穿隧層616,並在本實施例中蝕刻2到3nm的底部NVM層612。IBE蝕刻能夠蝕刻該頂部NVM層620以及該穿隧層616,而不會形成側壁沉積,且不會損壞該穿隧層616。圖6B為已經蝕刻頂部NVM層620以及該穿隧層616後的疊層600之示意橫剖面圖。使用IBE而僅蝕刻該頂部NVM層620以及該穿隧層616,可降低 IBE的側壁角度/深寬比限制,同時不產生沉積而維持穿隧層616的完整性。
一氧化物或氮化物的間隔層形成在該局部蝕刻層疊600周圍。圖6C為已經形成間隔層628之後的疊層600之示意橫剖面圖。該間隔層在接下來的蝕刻期間密封該穿隧層616。該間隔層的厚度大約為2到5nm。使用RIE或IBE濺鍍,開通該間隔層628。圖6D為已經開通間隔層628後的疊層600之示意橫剖面圖。
接下來該疊層經歷一蝕刻處理,如圖1所示。其中該圖案化硬遮罩624、該頂部NVM層620、該穿隧層616、以及該間隔層628提供用來蝕刻底部NVM層612的一圖案化遮罩。在本範例中,經由提供4到80mTorr的壓力來提供氧化,俾提供起始步驟(步驟108)。50到500sccm的氧氣以及0到500sccm的氬氣流進該蝕刻腔室349之中。在13MHz下提供200到1500的TCP功率(TCP power),使氣體形成電漿。提供20到500 volts的偏壓。該氧化處理維持5到60秒。在一替代實施例中,可由氯化來提供該起始步驟。在此處理中提供4到80mTorr的壓力。50到500sccm的氯氣以及0到500sccm的氬氣流進該蝕刻腔室349之中。在13MHz下提供200到1500的TCP功率,使氣體形成電漿。提供20到500 volts的偏壓。該氯化處理維持5到60秒。
在本範例中,經由提供一有機酸的蒸氣,俾提供該溶劑化物改質的金屬位置步驟(步驟504)。經由提供一配位基的蒸氣,俾提供該配位基錯合物形成步驟(步驟508)。在該溶劑化與配位基錯合物形成步驟期間,提供20mTorr到1Torr的壓力(有或沒有載送氣體),可重複多次該等步驟以強化反應。
在本範例中,經由提供微量電漿濺鍍(light plasma sputtering),俾提供該脫附步驟(步驟116)。在一範例中,經由提供4到80mTorr 的腔室壓力來完成該微量電漿濺鍍。50到500sccm的氬氣流進該蝕刻腔室349中。提供200到1500的TCP功率,使氣體形成電漿。提供0到100 volts的偏壓。該氬氣可由氦氣、氖氣、氙氣取代。較佳地,該氣體為純鈍氣。在其他範例中,經由加熱該夾具308到80℃到300℃之間的溫度,俾提供脫附。重複該處理直到該底部NVM層612的蝕刻完成。圖6E為已經蝕刻底部NVM層612後的疊層600之示意圖。
本實施例使用IBE,僅蝕刻該穿隧層616,並開通該間隔層,故該IBE使用於較淺且較小深寬比的蝕刻。這使IBE不會形成側壁沉積,且不會破壞該穿隧層616。薄膜疊層的局部圖案化對IBE的側壁角度/深寬比限制的拘束較少,同時不形成沉積而維持MgO的完整性。該間隔層可在蝕刻接下來的層時,進一步保護該MgO層。本實施例使用非水性溶劑以避免該MgO層可能發生的降解。本實施例使用蒸氣來產生有機金屬副產物,其性質具揮發性,會離開該晶圓表面而不形成過量的側壁堆積。此允許具有高深寬比的高密度MRAM圖案化的形成。本實施例提供具有小CD與高深寬比的MRAM的非等向性蝕刻。本實施例藉由提供原子層級的蝕刻,俾提供對於蝕刻處理之更大的控制。
雖然本發明已經用許多優選的實施例來描述,但仍有其他變化、排列置換、修改或其他替代的等價態樣,也在本發明的範圍中。須注意仍有許多執行本發明的方法和儀器之替代方式。因此申請人意欲將下列申請專利範圍解釋為包含所有落入本發明之真正精神與範圍中之此等變化、排列置換或其他替代的等價態樣。

Claims (39)

  1. 一種以一或更多循環蝕刻疊層之方法,該疊層具有至少一金屬層,其中各循環包含:執行一起始步驟,將該至少一金屬層的部分轉變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置;執行一反應步驟,該反應步驟提供一或更多的循環,其中各循環包含:提供有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態;以及提供有機配位基溶劑來形成揮發性有機金屬化合物;以及執行該揮發性有機金屬化合物的脫附。
  2. 如申請專利範圍第1項之以一或更多循環蝕刻疊層之方法,其中該執行該揮發性有機金屬化合物的脫附之步驟,包含加熱該有機金屬化合物。
  3. 如申請專利範圍第2項之以一或更多循環蝕刻疊層之方法,其中該加熱該有機金屬化合物之步驟,包含提供輻射熱,用以直接加熱該有機金屬化合物。
  4. 如申請專利範圍第2項之以一或更多循環蝕刻疊層之方法,其中接續地執行該提供有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態之步驟、以及該提供有機配位基溶劑來形成揮發性有機金屬化合物之步驟。
  5. 如申請專利範圍第2項之以一或更多循環蝕刻疊層之方法,其中同時地執行該提供有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態之步驟、以及該提供有機配位基溶劑來形成揮發性有機金屬化合物之步驟。
  6. 如申請專利範圍第2項之以一或更多循環蝕刻疊層之方法,其中 該有機溶劑蒸氣包含醇類、胺類或烴類中之至少一者。
  7. 如申請專利範圍第6項以一或更多循環蝕刻疊層之方法,其中該有機配位基溶劑包含乙醯丙酮酸鹽(acac)族類、乙酸、醯胺類、脒基、烯丙基、亞乙基、乙炔、以及環戊二烯基中之至少一者。
  8. 如申請專利範圍第2項之以一或更多循環蝕刻疊層之方法,其中該至少一金屬層設置在一圖案化遮罩之下。
  9. 如申請專利範圍第8項之以一或更多循環蝕刻疊層之方法,其中該圖案化遮罩包含一圖案化金屬穿隧接面疊層。
  10. 如申請專利範圍第8項之以一或更多循環蝕刻疊層之方法,更包含形成一圖案化遮罩,包含:利用離子束蝕刻或反應性離子蝕刻,蝕刻形成在該疊層上的一磁性穿隧接面層;形成一間隔層在該磁性穿隧接面層之上;以及開通該間隔層。
  11. 如申請專利範圍第1項之以一或更多循環蝕刻疊層之方法,更包含形成一圖案化遮罩,包含:利用離子束蝕刻或反應性離子蝕刻,蝕刻形成在該疊層上的一磁性穿隧接面層;形成一間隔層在該磁性穿隧接面層之上;以及開通該間隔層。
  12. 一種MRAM疊層之蝕刻方法,該MRAM疊層具有設置在穿隧層之下的至少一金屬層,而穿隧層設置在遮罩之下,該蝕刻方法包含:蝕刻該穿隧層;在經蝕刻之該穿隧層上形成一間隔層; 開通該間隔層;以及以一或更多循環蝕刻該至少一金屬層,其中各循環包含:執行一起始步驟,將該至少一金屬層的部分變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置;執行一反應步驟,該反應步驟提供一或更多的循環,其中各循環包含:提供一有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態;以及提供一有機配位基溶劑來形成揮發性有機金屬化合物;以及執行該揮發性有機金屬化合物的脫附。
  13. 如申請專利範圍第12項之MRAM疊層之蝕刻方法,其中該蝕刻該穿隧層之步驟,包含離子束蝕刻或反應性離子蝕刻之至少一者。
  14. 如申請專利範圍第13項之MRAM疊層之蝕刻方法,其中執行脫附之該步驟,包含加熱該有機金屬化合物。
  15. 如申請專利範圍第14項之MRAM疊層之蝕刻方法,其中加熱該有機金屬化合物之該步驟,包含提供輻射熱,用以直接加熱該有機金屬化合物。
  16. 如申請專利範圍第12項之MRAM疊層之蝕刻方法,其中接續地執行該提供有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態之步驟、以及該提供有機配位基溶劑來形成揮發性有機金屬化合物之步驟。
  17. 如申請專利範圍第12項之MRAM疊層之蝕刻方法,其中同時地執行該提供有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態之步驟、以及該提供有機配位基溶劑來形成揮發性有機金屬化合物之步驟。
  18. 如申請專利範圍第12項之MRAM疊層之蝕刻方法,其中該有機溶劑蒸氣包含醇類、胺類或烴類中之至少一者。
  19. 如申請專利範圍第18項之MRAM疊層之蝕刻方法,其中該有機配位基溶劑包含乙醯丙酮酸鹽(acac)族類、乙酸、醯胺類、脒基、烯丙基、亞乙基、乙炔、以及環戊二烯基中之至少一者。
  20. 一種以一或更多循環蝕刻疊層之方法,該疊層具有至少一金屬層,其中各循環包含:執行一起始步驟,將該至少一金屬層的部分轉變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置;以及執行一反應步驟,該反應步驟提供一或更多的循環,其中各循環包含:提供有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態;以及提供有機配位基溶劑來形成揮發性有機金屬化合物。
  21. 如申請專利範圍第20項之以一或更多循環蝕刻疊層之方法,其中接續地執行該提供有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態之步驟、以及該提供有機配位基溶劑來形成揮發性有機金屬化合物之步驟。
  22. 如申請專利範圍第20項之以一或更多循環蝕刻疊層之方法,其中同時地執行該提供有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態之步驟、以及該提供有機配位基溶劑來形成揮發性有機金屬化合物之步驟。
  23. 如申請專利範圍第20項之以一或更多循環蝕刻疊層之方法,其中該有機溶劑蒸氣包含醇類、胺類或烴類中之至少一者。
  24. 如申請專利範圍第20項之以一或更多循環蝕刻疊層之方法,其中該有機配位基溶劑包含乙醯丙酮酸鹽(acac)族類、乙酸、醯胺類、脒基、烯丙基、亞乙基、乙炔、以及環戊二烯基中之至少一者。
  25. 一種以一或更多循環蝕刻疊層之方法,該疊層具有至少一金屬層,其中各循環包含:執行一起始步驟,將該至少一金屬層的部分轉變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置;以及執行一反應步驟,該反應步驟包含:提供有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態,或提供有機配位基溶劑來形成揮發性有機金屬化合物。
  26. 如申請專利範圍第25項之以一或更多循環蝕刻疊層之方法,更包含執行該揮發性有機金屬化合物的脫附。
  27. 如申請專利範圍第26項之以一或更多循環蝕刻疊層之方法,其中該執行該揮發性有機金屬化合物的脫附之步驟,包含加熱該有機金屬化合物。
  28. 如申請專利範圍第25項之以一或更多循環蝕刻疊層之方法,其中該有機溶劑蒸氣包含醇類、胺類或烴類中之至少一者。
  29. 如申請專利範圍第25項以一或更多循環蝕刻疊層之方法,其中該有機配位基溶劑包含乙醯丙酮酸鹽(acac)族類、乙酸、醯胺類、脒基、烯丙基、亞乙基、乙炔、以及環戊二烯基中之至少一者。
  30. 一種以一或更多循環蝕刻疊層之方法,該疊層具有至少一金屬層,其中各循環包含:執行一起始步驟,將該至少一金屬層的部分轉變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置;以及執行一反應步驟,包含提供有機溶劑蒸氣來形成溶劑化金屬、金屬鹵化物、或金屬氧化物狀態。
  31. 如申請專利範圍第30項之以一或更多循環蝕刻疊層之方法, 更包含執行揮發性有機金屬化合物的脫附。
  32. 如申請專利範圍第31項之以一或更多循環蝕刻疊層之方法,其中該執行該揮發性有機金屬化合物的脫附之步驟,包含加熱該有機金屬化合物。
  33. 如申請專利範圍第30項之以一或更多循環蝕刻疊層之方法,其中該有機溶劑蒸氣包含醇類、胺類或烴類中之至少一者。
  34. 如申請專利範圍第30項之以一或更多循環蝕刻疊層之方法,更包含形成一圖案化遮罩,包含:利用離子束蝕刻或反應性離子蝕刻,蝕刻形成在該疊層上的一磁性穿隧接面層;形成一間隔層在該磁性穿隧接面層之上;以及開通該間隔層。
  35. 一種以一或更多循環蝕刻疊層之方法,該疊層具有至少一金屬層,其中各循環包含:執行一起始步驟,將該至少一金屬層的部分轉變成金屬氧化物、金屬鹵化物、或晶格損壞的金屬位置;以及執行一反應步驟,提供有機配位基溶劑來形成揮發性有機金屬化合物。
  36. 如申請專利範圍第35項之以一或更多循環蝕刻疊層之方法,更包含執行該揮發性有機金屬化合物的脫附。
  37. 如申請專利範圍第36項之以一或更多循環蝕刻疊層之方法,其中該執行該揮發性有機金屬化合物的脫附之步驟,包含加熱該有機金屬化合物。
  38. 如申請專利範圍第35項之以一或更多循環蝕刻疊層之方法,其中該有機配位基溶劑包含乙醯丙酮酸鹽(acac)族類、乙酸、醯胺類、脒基、 烯丙基、亞乙基、乙炔、以及環戊二烯基中之至少一者。
  39. 如申請專利範圍第35項之以一或更多循環蝕刻疊層之方法,更包含形成一圖案化遮罩,包含:利用離子束蝕刻或反應性離子蝕刻,蝕刻形成在該疊層上的一磁性穿隧接面層;形成一間隔層在該磁性穿隧接面層之上;以及開通該間隔層。
TW104109675A 2014-03-27 2015-03-26 非揮發性金屬材料之蝕刻方法 TWI650886B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461971032P 2014-03-27 2014-03-27
US61/971,032 2014-03-27
US14/325,911 US9130158B1 (en) 2014-03-27 2014-07-08 Method to etch non-volatile metal materials
US14/325,911 2014-07-08

Publications (2)

Publication Number Publication Date
TW201608748A TW201608748A (zh) 2016-03-01
TWI650886B true TWI650886B (zh) 2019-02-11

Family

ID=54012662

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104108722A TWI651773B (zh) 2014-03-27 2015-03-19 非揮發性金屬材料之蝕刻方法
TW104109675A TWI650886B (zh) 2014-03-27 2015-03-26 非揮發性金屬材料之蝕刻方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104108722A TWI651773B (zh) 2014-03-27 2015-03-19 非揮發性金屬材料之蝕刻方法

Country Status (6)

Country Link
US (3) US9257638B2 (zh)
JP (2) JP6557490B2 (zh)
KR (2) KR102377668B1 (zh)
CN (2) CN104953027B (zh)
SG (2) SG10201502438RA (zh)
TW (2) TWI651773B (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240547B2 (en) 2013-09-10 2016-01-19 Micron Technology, Inc. Magnetic tunnel junctions and methods of forming magnetic tunnel junctions
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9373779B1 (en) 2014-12-08 2016-06-21 Micron Technology, Inc. Magnetic tunnel junctions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9502642B2 (en) 2015-04-10 2016-11-22 Micron Technology, Inc. Magnetic tunnel junctions, methods used while forming magnetic tunnel junctions, and methods of forming magnetic tunnel junctions
US9520553B2 (en) * 2015-04-15 2016-12-13 Micron Technology, Inc. Methods of forming a magnetic electrode of a magnetic tunnel junction and methods of forming a magnetic tunnel junction
US9530959B2 (en) * 2015-04-15 2016-12-27 Micron Technology, Inc. Magnetic tunnel junctions
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9257136B1 (en) 2015-05-05 2016-02-09 Micron Technology, Inc. Magnetic tunnel junctions
US9960346B2 (en) 2015-05-07 2018-05-01 Micron Technology, Inc. Magnetic tunnel junctions
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
CN106548936B (zh) * 2015-09-23 2022-04-22 北京北方华创微电子装备有限公司 一种金属层的刻蚀方法
KR102652512B1 (ko) 2015-11-10 2024-03-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 에칭 반응물 및 이를 사용한 플라즈마-부재 옥사이드 에칭 공정
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10230042B2 (en) 2016-03-03 2019-03-12 Toshiba Memory Corporation Magnetoresistive element and method of manufacturing the same
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9680089B1 (en) 2016-05-13 2017-06-13 Micron Technology, Inc. Magnetic tunnel junctions
US9799519B1 (en) * 2016-06-24 2017-10-24 International Business Machines Corporation Selective sputtering with light mass ions to sharpen sidewall of subtractively patterned conductive metal layer
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
KR102511914B1 (ko) 2016-08-04 2023-03-21 삼성전자주식회사 자기 기억 소자 및 이의 제조 방법
US10103196B2 (en) 2016-08-30 2018-10-16 Micron Technology, Inc. Methods of forming magnetic memory cells, and methods of forming arrays of magnetic memory cells
KR102292077B1 (ko) 2016-12-09 2021-08-23 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
KR102638610B1 (ko) 2017-01-11 2024-02-22 삼성전자주식회사 자기 메모리 장치
US10297746B2 (en) 2017-04-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Post treatment to reduce shunting devices for physical etching process
SG11201908113WA (en) 2017-04-13 2019-10-30 Basf Se Process for the etching metal- or semimetal-containing materials
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10242885B2 (en) * 2017-05-26 2019-03-26 Applied Materials, Inc. Selective dry etching of metal films comprising multiple metal oxides
US10651372B2 (en) * 2017-06-13 2020-05-12 Tokyo Electron Limited Process for patterning a magnetic tunnel junction
CN118231247A (zh) * 2017-12-14 2024-06-21 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10714681B2 (en) * 2018-10-19 2020-07-14 International Business Machines Corporation Embedded magnetic tunnel junction pillar having reduced height and uniform contact area
JP7310146B2 (ja) * 2019-01-16 2023-07-19 東京エレクトロン株式会社 ハードマスク付き半導体デバイスの製造用の基板及び半導体デバイスの製造方法
CN109801844A (zh) * 2019-02-03 2019-05-24 南通大学 一种金属刻槽方法
CN109786241B (zh) * 2019-02-03 2022-09-27 南通大学 一种微损伤减缓铝刻蚀侧腐的方法
US10971500B2 (en) * 2019-06-06 2021-04-06 Micron Technology, Inc. Methods used in the fabrication of integrated circuitry
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
CY2004010I1 (el) 2019-08-29 2009-11-04 Novartis Ag Phenyl carbamate
US11424134B2 (en) * 2019-09-19 2022-08-23 Applied Materials, Inc. Atomic layer etching of metals
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11502246B2 (en) 2020-06-04 2022-11-15 Samsung Electronics Co., Ltd. Magnetoresistive device, magnetic memory, and method of fabricating a magnetoresistive device
US11737289B2 (en) 2020-12-09 2023-08-22 International Business Machines Corporation High density ReRAM integration with interconnect
US20230420267A1 (en) * 2022-05-27 2023-12-28 Tokyo Electron Limited Oxygen-free etching of non-volatile metals

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100184253A1 (en) * 2008-06-24 2010-07-22 Konica Minolta Holdings, Inc. Process for manufacturing thin film transistor
US20120244667A1 (en) * 2011-03-22 2012-09-27 Bo Sung Kim Precursor composition for oxide semiconductor and method of manufacturing thin film transistor array panel using the same

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0433983B1 (en) * 1989-12-20 1998-03-04 Texas Instruments Incorporated Copper etch process using halides
JPH04208526A (ja) * 1990-11-30 1992-07-30 Nisshin Hightech Kk ドライエッチング方法および装置
KR0155785B1 (ko) * 1994-12-15 1998-10-15 김광호 핀형 커패시터 및 그 제조방법
US6083413A (en) * 1995-10-19 2000-07-04 Massachusetts Institute Of Technology Metals removal process
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
JP3619745B2 (ja) * 1999-12-20 2005-02-16 株式会社日立製作所 固体表面の処理方法及び処理液並びにこれらを用いた電子デバイスの製造方法
FR2820417B1 (fr) 2001-02-08 2003-05-30 Commissariat Energie Atomique Procede de dissolution et de decontamination
KR100421219B1 (ko) * 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
AU2003253610A1 (en) * 2002-06-28 2004-01-19 Tokyo Electron Limited Anisotropic dry etching of cu-containing layers
JP2004332045A (ja) * 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
JP4534664B2 (ja) * 2004-08-24 2010-09-01 ソニー株式会社 磁気記憶装置の製造方法
JP4769002B2 (ja) * 2005-03-28 2011-09-07 株式会社アルバック エッチング方法
JP5481547B2 (ja) * 2006-08-24 2014-04-23 富士通セミコンダクター株式会社 金属付着物の除去方法、基板処理装置、および記録媒体
JP2007158361A (ja) * 2007-01-09 2007-06-21 Yamaha Corp 磁気トンネル接合素子の製法
US7948044B2 (en) * 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8043732B2 (en) * 2008-11-11 2011-10-25 Seagate Technology Llc Memory cell with radial barrier
US8981502B2 (en) * 2010-03-29 2015-03-17 Qualcomm Incorporated Fabricating a magnetic tunnel junction storage element
JP2012038815A (ja) * 2010-08-04 2012-02-23 Toshiba Corp 磁気抵抗素子の製造方法
WO2012031194A2 (en) * 2010-09-03 2012-03-08 Georgia Tech Research Corporation Compositions and methods for the separation of metals
US8546263B2 (en) * 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
JP2013016587A (ja) * 2011-07-01 2013-01-24 Toshiba Corp 磁気抵抗効果素子及びその製造方法
US8784676B2 (en) * 2012-02-03 2014-07-22 Lam Research Corporation Waferless auto conditioning
US20130270227A1 (en) * 2012-04-13 2013-10-17 Lam Research Corporation Layer-layer etch of non volatile materials
US9129690B2 (en) * 2012-07-20 2015-09-08 Samsung Electronics Co., Ltd. Method and system for providing magnetic junctions having improved characteristics
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100184253A1 (en) * 2008-06-24 2010-07-22 Konica Minolta Holdings, Inc. Process for manufacturing thin film transistor
US20120244667A1 (en) * 2011-03-22 2012-09-27 Bo Sung Kim Precursor composition for oxide semiconductor and method of manufacturing thin film transistor array panel using the same

Also Published As

Publication number Publication date
KR20150112896A (ko) 2015-10-07
JP6789614B2 (ja) 2020-11-25
SG10201502438RA (en) 2015-10-29
CN108682737A (zh) 2018-10-19
KR102377668B1 (ko) 2022-03-22
TW201608748A (zh) 2016-03-01
US9130158B1 (en) 2015-09-08
TW201603135A (zh) 2016-01-16
US9257638B2 (en) 2016-02-09
US9391267B2 (en) 2016-07-12
JP2015216360A (ja) 2015-12-03
KR102318520B1 (ko) 2021-10-28
SG10201502437TA (en) 2015-10-29
CN104953027B (zh) 2018-05-22
TWI651773B (zh) 2019-02-21
US20150280113A1 (en) 2015-10-01
US20150340603A1 (en) 2015-11-26
JP6557490B2 (ja) 2019-08-07
CN104953027A (zh) 2015-09-30
US20150280114A1 (en) 2015-10-01
JP2015192150A (ja) 2015-11-02
KR20150112757A (ko) 2015-10-07

Similar Documents

Publication Publication Date Title
TWI650886B (zh) 非揮發性金屬材料之蝕刻方法
TWI579914B (zh) 利用電漿進行非揮發性物質之分層蝕刻
CN101826435B (zh) 等离子蚀刻方法及等离子蚀刻装置
JP5740281B2 (ja) 金属膜のドライエッチング方法
TWI735522B (zh) 混合式階梯蝕刻
TW201843701A (zh) 矽氮化物之原子層蝕刻
JP6749749B2 (ja) 銅バリア膜をエッチングするための新規方法
TW201442108A (zh) 在原處之金屬殘餘物清潔
US20130270227A1 (en) Layer-layer etch of non volatile materials
TWI659469B (zh) 含鎢層之蝕刻方法
TW201413816A (zh) 用以提供介層窗之方法
TW202125640A (zh) 原子層蝕刻及離子束蝕刻圖案化
TW202243010A (zh) 金屬蝕刻
TW202025301A (zh) 將半導體元件上的矽化鎳層圖案化之方法