CN118231247A - 蚀刻金属氧化物而蚀刻残留物较少的方法 - Google Patents

蚀刻金属氧化物而蚀刻残留物较少的方法 Download PDF

Info

Publication number
CN118231247A
CN118231247A CN202410220896.8A CN202410220896A CN118231247A CN 118231247 A CN118231247 A CN 118231247A CN 202410220896 A CN202410220896 A CN 202410220896A CN 118231247 A CN118231247 A CN 118231247A
Authority
CN
China
Prior art keywords
substrate
layer
metal layer
exposing
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202410220896.8A
Other languages
English (en)
Inventor
A·B·穆里克
A·B·玛里克
S·冈迪科塔
S·S·罗伊
Y·饶
R·弗里德
U·米特拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN118231247A publication Critical patent/CN118231247A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述了蚀刻金属氧化物膜而蚀刻残留物较少的处理方法。所述方法包括:用金属卤化物蚀刻剂蚀刻金属氧化物膜;以及将所述蚀刻残留物暴露于还原剂以去除所述蚀刻残留物。一些实施方式涉及蚀刻氧化钨膜。一些实施方式利用卤化钨蚀刻金属氧化物膜。一些实施方式利用氢气作为还原剂以去除蚀刻残留物。

Description

蚀刻金属氧化物而蚀刻残留物较少的方法
本申请是申请日为2018年12月13日、申请号为201880084984.2、名称为“蚀刻金属氧化物而蚀刻残留物较少的方法”的中国专利申请(PCT申请号为PCT/US2018/065379)的分案申请。
技术领域
本公开总体涉及蚀刻氧化的金属膜的方法。特别地,本公开涉及用于蚀刻氧化的金属膜的提供较少蚀刻残留物的工艺。
背景技术
半导体工业正在快速地开发具有越来越小的晶体管尺寸的芯片以达成每单位面积更多的功能。随着器件的尺寸不断地缩小,在器件之间的间隙/空间也在不断地缩小,从而提高使器件彼此物理地隔离的难度。
创建高深宽比结构是器件图案化领域的挑战之一。在逻辑和存储器中的许多结构都受益于高深宽比。创建高深宽比结构的若干方法利用钨的通过氧化的体积膨胀来产生在其周围可沉积其他材料的材料柱。这些含钨柱在之后被去除以提供高深宽比结构。这些结构在之后可用金属触点或其他导电材料填充。
然而,去除这些含钨柱通常会留下蚀刻残留物。此蚀刻残留物可减小任何随后提供的金属化层的可用体积,并且可增大这些层的电阻率。
因此,本领域中需要产生较少蚀刻残留物的蚀刻金属氧化物的方法。
发明内容
本公开的一个或多个实施方式涉及一种基板处理方法,包括将包括氧化的金属层的基板暴露于金属卤化物以蚀刻所述氧化的金属层的一部分并产生蚀刻残留物。将所述基板暴露于还原剂以去除所述蚀刻残留物。
本公开的附加的实施方式涉及一种基板处理方法,包括:将包括氧化的金属层的基板提供在具有处理容积的处理腔室中。将所述基板暴露于金属卤化物以去除所述氧化的金属层的一部分并产生蚀刻残留物。将所述基板暴露于还原剂以去除所述蚀刻残留物。重复将所述基板暴露于所述金属卤化物和将所述基板暴露于还原剂,直到已经去除预定厚度的所述氧化的金属层。
本公开的进一步的实施方式涉及一种基板处理方法,包括:将包括WO3层的基板提供在具有处理容积的处理腔室中。将所述基板暴露于包括WF6或WCl5中的一者或多者的蚀刻剂以去除所述WO3层的一部分并产生蚀刻残留物。用惰性气体净化所述处理容积。将所述基板暴露于包括H2的还原剂以去除所述蚀刻残留物。用惰性气体净化所述处理容积。重复将所述基板暴露于所述蚀刻剂、净化所述处理容积、将所述基板暴露于所述还原剂和净化所述处理容积,直到已经去除预定厚度的所述WO3层。
附图说明
为了能够详细地理解本公开的所述特征的方式,可参考实施方式来进行对上文简要地概述的本公开的更具体的描述,其中一些实施方式在附图中示出。然而,应注意,附图仅示出了本公开的典型的实施方式并因此不应视为对本公开的范围的限制,因为本公开可允许其他等效实施方式。
附图示出了根据本公开的一个或多个实施方式的处理方法。
在附图中,类似的部件和/或特征可具有相同的附图标记。进一步地,相同类型的各种部件可通过在附图标记后加上区分类似的部件的划线和第二标签来区分。如果在本说明书中仅使用了第一附图标记,那么不管第二附图标记如何,描述都适用于具有相同第一附图标记的类似的部件中的任一个。
具体实施方式
在描述本公开的若干示例性实施方式之前,应理解,本公开不限于以下描述中阐述的构造或工艺步骤的细节。本公开能够具有其他实施方式并能够以各种方式实践或实施。
如本文所使用的“基板”是指在制造工艺期间在其上执行膜处理的任何基板或于基板上形成的材料表面。例如,可在其上执行处理的基板表面包括如下材料:诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂的硅、非晶硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石、以及任何其他材料(诸如金属、金属氮化物、金属合金以及其他导电材料),具体取决于应用。基板包括但不限于半导体晶片。基板可被暴露于预处理工艺以对基板表面进行抛光、蚀刻、还原、氧化、羟化、退火、UV固化、电子束固化和/或烘烤。除了直接地在基板本身的表面上的膜处理之外,在本公开中,所公开的膜处理步骤中的任一个还可如下文更详细地公开的在形成在基板上的下面层(underlayer)上执行,并且术语“基板表面”旨在包括如上下文所指示的此类下面层。因此,例如,在膜/层或部分膜/层已经沉积到基板表面上的情况中,新沉积的膜/层的暴露表面就变成了基板表面。
本公开的一个或多个实施方式涉及基板处理方法,该方法从基板蚀刻或去除氧化的金属层而蚀刻残留物较少。本公开的各种实施方式关于附图中所示的详细工艺而进行描述。
参考附图,本公开的一个或多个实施方式涉及用于从基板蚀刻氧化的金属层的提供较少蚀刻残留物的方法100。在一些实施方式中,该方法是形成高深宽比结构的较大工艺的一部分。
在一些实施方式中,基板包括半导体材料,例如硅(Si)、碳(C)、锗(Ge)、硅化锗(SiGe)、砷化镓(GaAs)、InP、GaAs、InGaAs、InAIA、其他半导体材料、或它们的任何组合。在一些实施方式中,基板是隔离体上半导体(SOI)基板,包括块状下部基板、中间绝缘层以及顶部单晶层。顶部单晶层可包括上面列出的任何材料,例如硅。在各种实施方式中,基板可为例如有机基板、陶瓷基板、玻璃基板或半导体基板。虽然这里描述了可形成基板的材料的几个示例,但是可用作可在其上构建无源和有源电子器件(例如,晶体管、存储器、电容器、电感器、电阻器、开关、集成电路、放大器、光电器件或任何其他电子器件)的基础的任何材料都落入本公开的精神和范围内。
在一些实施方式中,方法100开始于提供包括氧化的金属层130的基板110。在附图所示的实施方式中,基板110包括特征、阻挡层115以及附加层120。特征衬有阻挡层115。在特征外部的基板表面包括附加层120。在一些实施方式中,附加层包括二氧化硅。在一些实施方式中可呈现附图所示出的基板110,但是出于本公开的目的,阻挡层115和附加层120各自是任选的。
在一些实施方式中,阻挡层115包括氮化钛(TiN)、钛(Ti)、钽(Ta)、氮化钽(TaN)或它们的任何组合。在另一个实施方式中,阻挡层115是氧化物,例如氧化铝(AlO)、氧化钛(TiO2)。在又一个实施方式中,阻挡层115是氮化物,例如氮化硅(SiN)。在一些实施方式中,阻挡层115具有从约0.5nm至约10nm的厚度。
在一些实施方式中,方法进一步包括氧化该特征内的金属层以产生氧化的金属层130。在一些实施方式中,由于在金属层上使用的氧化工艺,形成附加层120。合适的金属层包括但不限于包括以下各项中的一者或多者的膜:Co、Mo、W、Ta、Ti、Ru、铑(Rh)、Cu、Fe、Mn、V、铌(Nb)、铪(Hf)、锆(Zr)、钇(Y)、Al、Sn、Cr、镧(La)或它们的任何组合。
阻挡层115、附加层120和/或氧化的金属层130可通过技术人员已知的任何合适的技术来形成。合适的技术包括但不限于化学气相沉积(CVD)、原子层沉积(ALD)、等离子体增强CVD、等离子体增强ALD以及物理气相沉积(PVD)。本领域的技术人员将熟悉各种沉积工艺和技术,并且这些工艺的进一步描述不被包括。
氧化的金属层可为由任何合适的材料组成的任何合适的层。在一些实施方式中,氧化的金属层的金属选自碱金属、碱土金属、过渡金属、镧系元素、锕系元素以及过渡后金属中的一种或多种。在一些实施方式中,氧化的金属层包括钨(W)。氧化的金属层是指其中金属物质的平均氧化态大于0的金属层。出于本公开的目的,氧化的金属层可包括或可不包括氧。
在一些实施方式中,氧化的金属层的平均氧化态小于化学计量的金属氧化物的平均氧化态。如在这方面所使用的,化学计量的金属氧化物是指完全地氧化的金属氧化物。例如,WO3和Al2O3二者都是化学计量的金属氧化物。在一些实施方式中,氧化的金属层包括化学计量的金属氧化物。在一些实施方式中,氧化的金属层包括WO3
在一些实施方式中,氧化的金属层包括亚化学计量的金属氧化物。出于本公开的目的,亚化学计量的金属氧化物是其中金属与氧的比率大于在相同金属的化学计量的金属氧化物中金属与氧的比率的氧化的金属层。例如,在一些实施方式中,氧化的金属层包括亚化学计量的金属氧化物WOx,其中x小于3。在不限制金属物质的情况下,在一些实施方式中,氧化的金属层包括亚化学计量的金属氧化物。
氧化的金属层可包括除氧以外的元素。在一些实施方式中,氧化的金属层基本上不包括氧。如在这方面所使用的,“基本上不包括氧”表示氧化的金属层包括以原子计小于5%、3%、2%、1%或0.5%的氧。在一些实施方式中,氧化的金属层包括N、Si或C中的一种或多种。在一些实施方式中,氧化的金属层基本上由金属氮化物组成。在一些实施方式中,氧化的金属层基本上由金属硅化物组成。
再次参考附图,将基板110暴露于金属卤化物以蚀刻或去除氧化的金属层130的一部分并产生蚀刻残留物140。在一些实施方式中,金属卤化物蚀刻或去除阻挡层115的一部分。不受理论的束缚,本发明人已经发现,尝试用金属卤化物蚀刻金属氧化物层无法100%去除金属氧化物层,而是会留下蚀刻残留物。本发明人认为,蚀刻残留物可能是由于未完全地去除氧化的金属层或未完全地将氧化的金属层转化成非挥发性物质造成的。
金属卤化物可为由至少一种金属和至少一种卤素组成的任何合适的化合物。在实施方式中,金属卤化物的金属元素可包括钛、铪、锆、钒、铌、钽、铬、钼、钨、锰、铼、锝、铁、铝和镓中的一种或多种。在一些实施方式中,金属卤化物的金属元素具有22、23、24、40、41、42、72、73或74的原子序数。在一个或多个实施方式中,金属元素包括元素周期表的第4族、第5族或第6族的元素,或者可为过渡金属。在一些实施方式中,氧化的金属层和金属卤化物包括相同的金属物质。在一些实施方式中,氧化的金属层和金属卤化物包括不同的金属物质。在一些实施方式中,金属卤化物包括钨(W)。
在一些实施方式中,金属卤素包括氟(F)、氯(Cl)、溴(Br)或碘(I)中的一种或多种。在一些实施方式中,金属卤化物包括WF6或WCl5中的一种或多种。在一些实施方式中,金属卤化物基本上由WF6组成。在一些实施方式中,金属卤化物基本上由WCl5组成。如在这方面所使用的,“基本上由……组成”表示金属卤化物以摩尔计是大于95%、98%、99%或99.5%的所表述物质。
在一些实施方式中,在蚀刻工艺中几乎没有或没有使用局部等离子体来使蚀刻工艺更具选择性、精确性和各向同性。本文中将使用术语“无等离子体”来描述在没有或基本上没有等离子体功率施加到基板处理区域期间的基板处理区域。所描述的蚀刻剂(含金属和卤素的前驱物)拥有能量上有利的蚀刻反应路径,其使得基板处理区域能够在蚀刻本文的含金属的材料的操作期间无等离子体。换句话说,根据一个或多个实施方式,基板处理区域中的电子温度可小于0.5eV、小于0.45eV、小于0.4eV或小于0.35eV。此外,在实施方式中,在进入基板处理区域之前,含金属和卤素的前驱物可以没有已在任何远程等离子体中被激发。例如,如果存在远程等离子体区域或单独腔室区域并将其用于引导含卤素的前驱物朝向基板处理区域,则单独腔室区域或远程等离子体区域可为无等离子体的,如本文所定义。
再次参考附图,基板110被暴露于还原剂以去除蚀刻残留物。还原剂可为能够去除蚀刻残留物的任何化合物。在一些实施方式中,还原剂包括H2、B2H6或BCl3中的一种或多种。在一些实施方式中,还原剂基本上由H2、B2H6或BCl3中的一种组成。如在这方面所使用的,“基本上由……组成”表示还原剂以摩尔计是大于95%、98%、99%或99.5%的所表述物质,而不包括任何载气或稀释气体。
金属卤化物和/或还原剂在具有载气或稀释气的情况下可暴露于基板。合适的载气或稀释气体包括但不限于Ar、N2、He、Ne、Kr、Xe和它们的混合物。
将基板暴露于金属卤化物和还原剂可被称为一个循环。在一些实施方式中,方法包括多个循环。换句话说,在一些实施方式中,方法进一步包括重复暴露于金属卤化物和暴露于还原剂。在一些实施方式中,重复暴露于金属卤化物和暴露于还原剂,直到已经去除预定厚度的氧化的金属层。
也可控制执行方法所依据的条件。可控制的条件包括但不限于温度、压力、暴露时间、流率以及净化时间。
在一些实施方式中,本公开的方法在小于或等于约40托、小于或等于约30托、小于或等于约20托、小于或等于约10托或小于或等于约5托的压力下执行。在一些实施方式中,本公开的方法在大于或等于约5托、大于或等于约10托、大于或等于约15托、大于或等于约20托或大于或等于约30托的压力下执行。
本公开的基板处理方法可在任何合适的温度下执行。在一些实施方式中,维持基板处于小于或等于约475℃、小于或等于约450℃、小于或等于约400℃、小于或等于约350℃或小于或等于约300℃的温度。在一些实施方式中,维持基板处于大于或等于约200℃、大于或等于约250℃、大于或等于约300℃或大于或等于约350℃的温度。
在一些实施方式中,将基板提供在具有处理容积的处理腔室中。在一些实施方式中,本公开的方法包括:在将基板暴露于金属卤化物之后,净化处理容积;以及在将基板暴露于还原剂之后,净化处理容积。可用任何合适的惰性气体净化处理容积。惰性气体的示例包括但不限于作为载气或稀释气体列出的那些气体。
贯穿本说明书提到“一些实施方式”、“某些实施方式”、“一个或多个实施方式”或“实施方式”表示结合实施方式描述的特定特征、结构、材料或特性被包括在本公开的至少一些实施方式中。因此,贯穿本说明书在各处出现的短语诸如“在一个或多个实施方式中”、“在某些实施方式中”、“在一些实施方式中”或“在实施方式中”不一定是指本公开的同一个实施方式。此外,在一个或多个实施方式中,特定特征、结构、材料或特性可以以任何合适的方式进行组合。
尽管本文的公开内容已经参考特定实施方式进行描述,但是应理解,这些实施方式仅示出了本公开的原理和应用。对本领域的技术人员而言,将显而易见的是,可对本公开的方法进行各种修改和变化而不脱离本公开的精神和范围。因此,本公开旨在包括在所附权利要求书和其等效物的范围内的修改和变化。

Claims (19)

1.一种基板处理方法,包括:
将基板中的特征内的金属层氧化以产生氧化的金属层,所述特征衬有阻挡层,所述阻挡层具有在约0.5nm至约10nm的范围内的厚度;
将包括所述氧化的金属层的所述基板暴露于金属卤化物以蚀刻所述氧化的金属层的一部分并产生蚀刻残留物;以及
将所述基板暴露于还原剂以去除所述蚀刻残留物。
2.如权利要求1所述的方法,其中所述氧化的金属层的平均氧化态小于化学计量的金属氧化物的平均氧化态。
3.如权利要求2所述的方法,其中所述氧化的金属层基本上不包括氧。
4.如权利要求1所述的方法,其中所述方法是无等离子体的。
5.如权利要求1所述的方法,其中所述氧化的金属层和所述金属卤化物包括相同的金属物质。
6.如权利要求1所述的方法,其中所述金属层包括Co、Mo、W、Ta、Ti、Ru、Rh、Cu、Fe、Mn、V、Nb、Hf、Zr、Y、Al、Sn、Cr或La中的一种或多种。
7.如权利要求1所述的方法,其中所述阻挡层是氮化物。
8.如权利要求1所述的方法,其中所述阻挡层是氧化物。
9.如权利要求1所述的方法,其中所述阻挡层包括AlO、TiO2、TiN、TaN、SiN、Ti或Ta中的一种或多种。
10.如权利要求1所述的方法,其中所述还原剂包括H2、B2H6或BCl3中的一种或多种。
11.如权利要求1所述的方法,进一步包括重复所述暴露于所述金属卤化物和所述暴露于所述残留物。
12.如权利要求1所述的方法,其中将所述特征内的所述金属层氧化在所述基板的在所述特征外部的表面上形成附加层。
13.一种基板处理方法,包括:
(A)在具有处理容积的处理腔室中将基板的特征内的金属层氧化以在所述特征内形成氧化的金属层,所述氧化的金属层基本上不包括氧;
(B)将所述基板暴露于金属卤化物以去除所述氧化的金属层的一部分并产生蚀刻残留物;
(C)将所述基板暴露于还原剂以去除所述蚀刻残留物;以及
(D)重复(B)和(C),直到已经去除预定厚度的所述氧化的金属层为止。
14.如权利要求13所述的方法,其进一步包括:在将所述基板暴露于所述金属卤化物之后,净化所述处理容积;以及在将所述基板暴露于所述还原剂之后,净化所述处理容积。
15.如权利要求13所述的方法,其中所述氧化的金属层的平均氧化态小于化学计量的金属氧化物的平均氧化态。
16.如权利要求13所述的方法,其中所述特征衬有阻挡层。
17.如权利要求13所述的方法,其中所述金属卤化物包括WF6或WCl5中的一种或多种。
18.如权利要求13所述的方法,其中所述还原剂包括H2、B2H6或BCl3中的一种或多种。
19.一种基板处理方法,包括:
(A)在具有处理容积的处理腔室内将基板上的钨层氧化以形成WO3层;
(B)将所述基板暴露于蚀刻剂以去除所述WO3层的一部分并产生蚀刻残留物;
(C)用惰性气体净化所述处理容积;
(D)将所述基板暴露于包括H2的还原剂以去除所述蚀刻残留物;
(E)用惰性气体净化所述处理容积;以及
(F)重复(B)至(E),直到已经去除预定厚度的所述WO3层,
其中所述方法是无等离子体的。
CN202410220896.8A 2017-12-14 2018-12-13 蚀刻金属氧化物而蚀刻残留物较少的方法 Pending CN118231247A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762598766P 2017-12-14 2017-12-14
US62/598,766 2017-12-14
CN201880084984.2A CN111566786B (zh) 2017-12-14 2018-12-13 蚀刻金属氧化物而蚀刻残留物较少的方法
PCT/US2018/065379 WO2019118684A1 (en) 2017-12-14 2018-12-13 Methods of etching metal oxides with less etch residue

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880084984.2A Division CN111566786B (zh) 2017-12-14 2018-12-13 蚀刻金属氧化物而蚀刻残留物较少的方法

Publications (1)

Publication Number Publication Date
CN118231247A true CN118231247A (zh) 2024-06-21

Family

ID=66814708

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202410220896.8A Pending CN118231247A (zh) 2017-12-14 2018-12-13 蚀刻金属氧化物而蚀刻残留物较少的方法
CN201880084984.2A Active CN111566786B (zh) 2017-12-14 2018-12-13 蚀刻金属氧化物而蚀刻残留物较少的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880084984.2A Active CN111566786B (zh) 2017-12-14 2018-12-13 蚀刻金属氧化物而蚀刻残留物较少的方法

Country Status (6)

Country Link
US (2) US10622221B2 (zh)
JP (2) JP7366019B2 (zh)
KR (2) KR102476262B1 (zh)
CN (2) CN118231247A (zh)
SG (1) SG11202005303XA (zh)
WO (1) WO2019118684A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10950498B2 (en) 2017-05-31 2021-03-16 Applied Materials, Inc. Selective and self-limiting tungsten etch process
JP7366019B2 (ja) * 2017-12-14 2023-10-20 アプライド マテリアルズ インコーポレイテッド エッチング残留物の少ない金属酸化物のエッチング方法
SG11202108217UA (en) 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11189499B2 (en) * 2019-03-28 2021-11-30 Tokyo Electron Limited Atomic layer etch (ALE) of tungsten or other metal layers
US20210066064A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Methods and apparatus for cleaning metal contacts
TW202115827A (zh) * 2019-09-26 2021-04-16 美商應用材料股份有限公司 選擇性和自我限制性之鎢蝕刻製程
TW202209566A (zh) * 2020-05-22 2022-03-01 美商蘭姆研究公司 低電阻率接觸部及互連部
US11488835B2 (en) 2020-11-20 2022-11-01 Applied Materials, Inc. Systems and methods for tungsten-containing film removal
US20230098561A1 (en) * 2021-09-29 2023-03-30 Applied Materials, Inc. Methods for minimizing feature-to-feature gap fill height variations
US20230187204A1 (en) * 2021-12-10 2023-06-15 Applied Materials, Inc. Tungsten Fluoride Soak And Treatment For Tungsten Oxide Removal
WO2023229953A1 (en) * 2022-05-23 2023-11-30 Lam Research Corporation In situ treatment of molybdenum oxyhalide byproducts in semiconductor processing equipment

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63148637A (ja) * 1986-12-12 1988-06-21 Hitachi Ltd ドライエツチング方法
JP2542617B2 (ja) * 1987-04-30 1996-10-09 株式会社東芝 半導体装置の製造方法
JP2558738B2 (ja) * 1987-09-25 1996-11-27 株式会社東芝 表面処理方法
JP2720386B2 (ja) * 1988-02-05 1998-03-04 富士通株式会社 半導体装置の製造方法
JP2881796B2 (ja) * 1989-02-13 1999-04-12 ソニー株式会社 タングステン膜の選択的形成方法
JP3038827B2 (ja) * 1990-07-17 2000-05-08 富士通株式会社 半導体装置の製造方法
JPH05214530A (ja) * 1992-02-03 1993-08-24 Hitachi Ltd 微細孔への導体金属穴埋め方法及びその処理装置
JPH06204191A (ja) * 1992-11-10 1994-07-22 Sony Corp 金属プラグ形成後の表面処理方法
JPH0831932A (ja) * 1994-07-12 1996-02-02 Hitachi Ltd 半導体集積回路装置の製造方法
JP3696655B2 (ja) * 1995-06-09 2005-09-21 ソニー株式会社 配線形成方法
JP2978748B2 (ja) * 1995-11-22 1999-11-15 日本電気株式会社 半導体装置の製造方法
JPH09232287A (ja) * 1996-02-26 1997-09-05 Sony Corp エッチング方法及びコンタクトプラグ形成方法
JPH097969A (ja) * 1996-07-12 1997-01-10 Hitachi Ltd 微細孔への金属穴埋め方法
JP2000154007A (ja) 1998-11-17 2000-06-06 Kinya Adachi 金属酸化物表面をエッチングする技術及びそれを用いて得られる材料
US6316350B1 (en) * 1999-10-28 2001-11-13 Texas Instruments Incorporated Post fuse slag etch
KR100360397B1 (ko) * 1999-11-26 2002-11-18 삼성전자 주식회사 레지스트 제거용 조성물 및 이를 이용한 레지스트 제거 방법
JP4618842B2 (ja) * 2000-03-27 2011-01-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP2004247472A (ja) * 2003-02-13 2004-09-02 Seiko Epson Corp 半導体装置および薄膜形成方法
US8101025B2 (en) 2003-05-27 2012-01-24 Applied Materials, Inc. Method for controlling corrosion of a substrate
US20040237997A1 (en) * 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
JP2005079123A (ja) * 2003-08-29 2005-03-24 Toshiba Corp 成膜装置のクリーニング方法
JP2006319151A (ja) * 2005-05-13 2006-11-24 Oki Electric Ind Co Ltd エッチング残渣除去方法及びそれを用いた半導体装置の製造方法
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
KR100875168B1 (ko) * 2007-07-26 2008-12-22 주식회사 동부하이텍 반도체 소자의 금속배선 잔류 폴리머 제거방법
JP5437492B2 (ja) 2010-11-22 2014-03-12 株式会社アルバック メモリ素子の製造装置及び製造方法
KR101976212B1 (ko) * 2011-10-24 2019-05-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법
KR102067051B1 (ko) * 2011-10-24 2020-01-16 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법
EP2854160B1 (en) * 2012-05-23 2020-04-08 Tokyo Electron Limited Substrate processing method
US8507979B1 (en) * 2012-07-31 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit with metal gate
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US20150348840A1 (en) * 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US20160099158A1 (en) * 2014-10-06 2016-04-07 International Business Machines Corporation Method for removing metal oxide
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US20160046408A1 (en) * 2015-10-27 2016-02-18 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Internally coated vessel for housing a metal halide
US10648087B2 (en) * 2015-11-10 2020-05-12 L'Air Liquide, SociétéAnonyme pour l'Exploitation et l'Etude des Procédés Georges Claude Etching reactants and plasma-free etching processes using the same
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
TW201833991A (zh) 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
CN110050331B (zh) * 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
CN110678981B (zh) 2017-05-31 2023-05-23 应用材料公司 3d-nand器件中用于字线分离的方法
TWI760540B (zh) 2017-08-13 2022-04-11 美商應用材料股份有限公司 自對準高深寬比結構及製作方法
JP7029068B2 (ja) * 2017-08-29 2022-03-03 セントラル硝子株式会社 タングステン酸化物の処理方法及び六フッ化タングステンの製造方法
SG11202001177SA (en) 2017-09-05 2020-03-30 Applied Materials Inc Bottom-up approach to high aspect ratio hole formation in 3d memory structures
TWI723282B (zh) 2017-09-16 2021-04-01 美商應用材料股份有限公司 藉由矽化法之含金屬薄膜體積膨脹
JP7366019B2 (ja) * 2017-12-14 2023-10-20 アプライド マテリアルズ インコーポレイテッド エッチング残留物の少ない金属酸化物のエッチング方法

Also Published As

Publication number Publication date
US11232955B2 (en) 2022-01-25
US10622221B2 (en) 2020-04-14
KR20230004874A (ko) 2023-01-06
CN111566786A (zh) 2020-08-21
SG11202005303XA (en) 2020-07-29
KR102476262B1 (ko) 2022-12-08
WO2019118684A1 (en) 2019-06-20
JP7366019B2 (ja) 2023-10-20
CN111566786B (zh) 2024-03-15
US20200227275A1 (en) 2020-07-16
JP2024012316A (ja) 2024-01-30
JP2021507509A (ja) 2021-02-22
US20190189456A1 (en) 2019-06-20
KR20200085935A (ko) 2020-07-15

Similar Documents

Publication Publication Date Title
CN111566786B (zh) 蚀刻金属氧化物而蚀刻残留物较少的方法
TWI819806B (zh) 基材上選擇性沉積金屬薄膜之方法
US10083834B2 (en) Methods of forming self-aligned vias
US10319604B2 (en) Methods for self-aligned patterning
US10115601B2 (en) Selective film formation for raised and recessed features using deposition and etching processes
US11031302B2 (en) High-k metal gate and method for fabricating the same
JP7228568B2 (ja) 自己整合高アスペクト比構造及びその作製方法
US20070048920A1 (en) Methods for dual metal gate CMOS integration
JP6937197B2 (ja) ラップアラウンドコンタクト一体化スキーム
JP2021132201A (ja) 3d−nandメモリセル構造
US20210384035A1 (en) Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
US10770349B2 (en) Critical dimension control for self-aligned contact patterning
JP4493295B2 (ja) シリコンオキシド層を含む半導体素子の製造方法
US20220367270A1 (en) Self-aligned contact and contact over active gate structures
TWI329340B (en) Method for manufacturing semiconductor device
US11830725B2 (en) Method of cleaning a structure and method of depositing a capping layer in a structure
US20240035151A1 (en) Methods of selective deposition of molybdenum
US20240145232A1 (en) Benzyl compound passivation for selective deposition and selective etch protection
KR20210130850A (ko) 3-d nand 몰드
WO2018191338A1 (en) Method for anisotropic dry etching of titanium-containing films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination