US20220367270A1 - Self-aligned contact and contact over active gate structures - Google Patents

Self-aligned contact and contact over active gate structures Download PDF

Info

Publication number
US20220367270A1
US20220367270A1 US17/873,547 US202217873547A US2022367270A1 US 20220367270 A1 US20220367270 A1 US 20220367270A1 US 202217873547 A US202217873547 A US 202217873547A US 2022367270 A1 US2022367270 A1 US 2022367270A1
Authority
US
United States
Prior art keywords
source
electronic device
gate
drain
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/873,547
Inventor
Yuriy Shusterman
Madhur Sachan
Susmit Singha Roy
Regina FREED
Sanjay Natarajan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micromaterials LLC
Original Assignee
Micromaterials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micromaterials LLC filed Critical Micromaterials LLC
Priority to US17/873,547 priority Critical patent/US20220367270A1/en
Publication of US20220367270A1 publication Critical patent/US20220367270A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1026Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • Embodiments of the present invention pertain to the field of semiconductor device manufacturing and methods for device patterning.
  • embodiments pertain to the electrical contacts in a transistor device and methods of forming self-aligned contacts and contact over active gate structures.
  • multi-gate transistors have become more prevalent as device dimensions continue to scale down. Scaling multi-gate transistors has not been without consequence, however. As the dimensions of these fundamental building blocks of microelectronic circuitry are reduced, and as the number of building blocks fabricated in a given region increases, the constraints on the lithographic processes used to pattern these building blocks becomes overwhelming.
  • Photolithography is commonly used to pattern ICs on a substrate.
  • An exemplary feature of an IC is a line of a material which may be a metal, semiconductor, or insulator. Due to factors such as optics and light or radiation wavelength, however, photolithography techniques are restricted by a minimum pitch, below which a particular photolithographic technique may not reliably form features. Thus, the minimum pitch of a photolithographic technique can limit feature size reduction of ICs.
  • SADP self-aligned double patterning
  • SAQP self-aligned quadruple patterning
  • LELE litho-etch-litho-etch
  • a protective silicon nitride (SiN) cap is installed above the gate to enable larger tolerance against shorts arising from next-layer overlay errors.
  • SiN silicon nitride
  • COAG contact over active gate
  • dielectric caps are used to enable larger tolerance against shorts that arise from next-layer overlay errors.
  • gate height is lost at multiple points, leading to a reduced thickness in the protective caps and reduced margin against shorts. Therefore, there is a need for improved devices, and methods of manufacturing said devices, having taller gate heights and increased margin against shorting defects.
  • an electronic device comprises a substrate having an active region with at least one gate stack formed thereon, the at least one gate stack having a first side and a second side and comprising a source/drain and a gate metal.
  • a source/drain contact is on the source/drain.
  • a first dielectric is on the contact.
  • a spacer material is adjacent the first side and the second side of the at least one gate stack, and a second dielectric is on the at least one gate stack.
  • the gate metal has a thickness in a range of about 0.5 nm to about 2000 nm and the second dielectric has a thickness in a range of 0.5 nm to about 2000 nm.
  • a method of manufacturing an electronic device comprises providing a substrate having an active region with at least one gate stack formed thereon, the at least one gate stack having a first side and a second side and comprising a gate metal having a thickness in a range of 0.5 nm to about 2000 nm, a source/drain on the substrate, and a sacrificial material on the source/drain. Pillars are formed on the gate metal. A spacer material is formed on the first side and second side of the pillars. The sacrificial material is removed to form an opening to the source/drain. A metal is deposited in the opening to form a source/drain contact. The pillars are removed to form trenches, and a dielectric material is deposited through the trenches onto the gate metal.
  • an electronic device comprises a substrate having an active region with at least one gate stack formed thereon, the at least one gate stack having a first side and a second side and comprising a source/drain and a gate metal.
  • a source/drain contact is on the source/drain
  • a dielectric is on the at least one gate stack.
  • the gate metal has a thickness in a range of 0.5 nm to about 2000 nm and the dielectric has a thickness in a range of 0.5 nm to about 2000 nm.
  • FIG. 1 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure
  • FIG. 2 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure
  • FIG. 3 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure
  • FIG. 4 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure
  • FIG. 5 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure
  • FIG. 6 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure
  • FIG. 7 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure
  • FIG. 8 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure
  • FIG. 9 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure.
  • FIG. 10 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure
  • FIG. 11 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure
  • FIG. 12 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure
  • FIG. 13 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure
  • FIG. 14 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure
  • FIG. 15 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure
  • FIG. 16 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure
  • FIG. 17 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure
  • FIG. 18 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure
  • FIG. 19 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure.
  • FIG. 20 depicts a flow process diagram of one embodiment of a method of forming a thin film according to embodiments described herein;
  • FIG. 21 illustrates a cluster tool according to one or more embodiments.
  • a “substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process.
  • a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface.
  • any of the film processing steps disclosed may also be performed on an under-layer formed on the substrate as disclosed in more detail below, and the term “substrate surface” is intended to include such under-layer as the context indicates.
  • substrate surface is intended to include such under-layer as the context indicates.
  • the terms “precursor”, “reactant”, “reactive gas” and the like are used interchangeably to refer to any gaseous species that can react with the substrate surface.
  • the term “linewidth” refers to the width of the line of a material which may be a metal, semiconductor, or insulator
  • the term “spacing” refers to the distance between adjacent lines.
  • the term “pitch” is defined as the distance between a same point on two adjacent lines. The pitch is equal to the sum of the linewidth and the spacing.
  • One or more embodiments of the present disclosure are directed to semiconductor structures or devices having one or more gate contact structures (e.g. gate contact vias) disposed over active portions of gate electrodes of the semiconductor structures or devices.
  • gate contact structures e.g. gate contact vias
  • Transistors are circuit components or elements that are often formed on semiconductor devices. Many transistors may be formed on a semiconductor device in addition to capacitors, inductors, resistors, diodes, conductive lines, or other elements, depending upon the circuit design.
  • the metal-oxide-semiconductor field-effect transistor (MOSFET) is a type of field-effect transistor (FET). It has an insulated gate, whose voltage determines the conductivity of the device. This ability to change conductivity with the amount of applied voltage is used for amplifying or switching electronic signals.
  • a transistor includes a gate formed between source and drain regions.
  • the source and drain regions may include a doped region of a substrate and may exhibit a doping profile suitable for a particular application.
  • the gate is positioned over the channel region and may include a gate dielectric interposed between a gate electrode and the channel region in the substrate.
  • field effect transistor refers to a transistor that uses an electric field to control the electrical behavior of the device.
  • Field effect transistors generally display very high input impedance at low temperatures.
  • the conductivity between the drain and source terminals is controlled by an electric field in the device, which is generated by a voltage difference between the body and the gate of the device.
  • COAG contact over active gate
  • COAG refers to a process feature whereby the gate contact is stacked on top of the gate where there is an active region underneath, thereby improving transistor density.
  • COAG is a feature that provides an additional 10% area scaling.
  • a COAG semiconductor structure or device includes a diffusion or active region disposed in a substrate, and within an isolation region.
  • One or more gate lines are disposed over the diffusion or active region as well as over a portion of the isolation region.
  • Source or drain contacts are disposed over source and drain regions of the semiconductor device. Source or drain contact vias provide contact to sources or drains.
  • a separate gate contact, and overlying gate contact via provides contact to a gate line.
  • the term “four-color” refers to four different materials which are selectively etchable relative to each other. The more colors required, the more complex/difficult etching becomes. Additionally, current COAG schemes have low process margin for existing etch processes.
  • Some embodiments of the disclosure advantageously provide methods to enable self-aligned contact over active gate (COAG) using a three-color processing scheme.
  • the three-color processing scheme of one or more embodiments advantageously reduces one color for multiple color processes, relieving etch/material burden; eliminates a metal recess step, which is significant for cobalt (Co) or copper (Cu); is a self-aligned process; and extends gate pillar height, thus counteracting gate height loss. Additionally, the processing scheme of one or more embodiments increases the margin against shorting defects.
  • an active region refers to a region which comprises active areas that play an active role in the functioning of a semiconductor device.
  • an active region typically refers to a substrate area having formed thereon various sub-features of the field effect transistor, including, e.g., a source, a drain, and a channel connecting the source and the drain.
  • a gate stack is located over the active region and typically covers a portion thereof. The gate stack may, for example, cover at least a portion of the channel in a field effect transistor.
  • a gate dielectric is typically located between a gate electrode and the active region and prevents a direct electrical contact there-between.
  • a spacer material is typically an electrically insulating material which shields the gate electrode from electrical contact along the lateral sides.
  • first material when a first material is said to be etched selectively with respect to a second material, this means that the first material is etched faster than the second material.
  • the etching process would etch the first material at least two times faster, or at least five times faster, or at least ten times faster, than the second material.
  • the contacts formed are self-aligned.
  • self-aligned contact refers to a kind of self-aligned via. If the via (contact) patterning has a pattern placement error, the bottom of the via or part of the via is still determined by the top edge of the bottom metal.
  • FIGS. 1-11 illustrate an electronic device 100 formed according to the method of one or more embodiments of the disclosure.
  • the electronic device 100 can be referred to as a metal gate, a transistor, a transistor gate, and the like.
  • the individual components of the electronic device 100 include a substrate 102 having an active region with at least one gate stack 104 formed thereon.
  • the at least one gate stack 104 has a first side 106 and a second side 108 and comprises a source 116 , a drain 118 , and a gate metal 110 .
  • the at least one gate stack 104 , the source 116 , the drain 118 , and the gate metal 110 can be formed by any suitable process or processes known to the skilled artisan.
  • the electronic device 100 of FIG. 1 is produced by a replacement metal gate (RMG) process followed by a planarization (e.g. chemical mechanical planarization) step.
  • RMG replacement metal gate
  • planarization e.g. chemical mechanical planarization
  • the electronic device 100 has a substrate 102 with at least one gate stack 104 formed thereon.
  • the at least one gate stack 104 has a first side 106 and a second side 108 on opposite sides of the at least one gate stack 104 .
  • the at least one gate stack 104 comprises a gate metal 110 and a work-function material 114 .
  • the substrate 102 can be any suitable substrate material.
  • the substrate 102 comprises a semiconductor material, e.g., silicon (Si), carbon (C), germanium (Ge), silicon germanium (SiGe), galloum arsenide (GaAs), indium phosphorus (InP), indium galloum arsenide (InGaAs), indium aluminum arsenide (InAlAs), other semiconductor materials, or any combination thereof.
  • substrate 102 is a semiconductor-on-isolator (SOI) substrate including a bulk lower substrate, a middle insulation layer, and a top monocrystalline layer.
  • the top monocrystalline layer may comprise any material listed above, e.g., silicon (Si).
  • the substrate 102 can be, e.g., an organic, a ceramic, a glass, or a semiconductor substrate.
  • a substrate e.g., an organic, a ceramic, a glass, or a semiconductor substrate.
  • passive and active electronic devices e.g., transistors, memories, capacitors, inductors, resistors, switches, integrated circuits, amplifiers, optoelectronic devices, or any other electronic devices.
  • the at least one gate stack 104 may be comprised of several different materials including, but not limited to, amorphous silicon, one or more metal, and the like.
  • FIG. 1 is a starting point from where the FIN and work-function material 114 have been formed.
  • the at least one gate stack 104 comprises a gate metal 110 on the FIN and work-function material 114 .
  • the gate metal 110 may be any suitable metal known to one of skill in the art.
  • the gate metal 110 comprises a metal selected from one or more of cobalt (Co), tungsten (W), titanium (Ti), molybdenum (Mo), nickel (Ni), ruthenium (Ru), silver (Ag), iridium (Ir), or platinum (Pt).
  • the term “work-function” refers to the bulk chemical potential of a material (e.g. metal) relative to the vacuum level.
  • the work-function material 114 has a work function greater than or equal to 4.3 eV. In some embodiments, the work-function material 114 has a work function greater than or equal to 4.5 eV.
  • the work-function material 114 has a work-function greater than or equal to 4.3 eV, including greater than or equal to 4.4 eV, greater than or equal to 4.5 eV, greater than or equal to 4.6, greater than or equal to 4.7 eV, greater than or equal to 4.8 eV, greater than or equal to 4.9 eV, greater than or equal to 5.0 eV, greater than or equal to 5.1 eV, or greater than or equal to 5.2 eV.
  • the work-function material 114 comprises a metal nitride.
  • the work-function material 114 comprises one or more of titanium nitride (TiN), tungsten nitride (WN), tantalum nitride (TaN), molybdenum nitride (MoN), TaN/TiN, or WN/TiN.
  • the substrate 102 has a source/drain region 117 adjacent the first side 106 and the second side 108 of the at least one gate stack 104 .
  • the source/drain region 117 comprises a source 116 and a drain 118 . While it is noted that, in this disclosure, the reference numeral 116 refers to the source and the reference numeral 118 refers to the drain, as recognized by one skilled in the art, the positioning of the source and drain materials can be switched such that 116 is the drain and 118 is the source.
  • the source 116 and drain 118 can be any suitable material known to the skilled artisan.
  • the source and drain materials 116 / 118 may have more than one layer.
  • the source and drain materials may comprise three layers.
  • the source/drain material 116 / 118 comprise one or more of copper (Cu), cobalt (Co), tungsten (W), titanium (Ti), molybdenum (Mo), nickel (Ni), ruthenium (Ru), silver (Ag), gold (Au), iridium (Ir), platinum (Pt), phosphorus (P), germanium (Ge), silicon (Si), aluminum (Al), or zirconium (Zr).
  • the source/drain materials 116 / 118 comprise a bottom layer of silicon with doped epi (e.g. SiGe, SiP, and the like), a second layer of silicide, which may contain nickel (Ni), titanium (Ti), aluminum (Al), and the like, and a third, or top, layer which may be a metal such as, but not limited to, cobalt, tungsten, ruthenium, and the like.
  • a bottom layer of silicon with doped epi e.g. SiGe, SiP, and the like
  • a second layer of silicide which may contain nickel (Ni), titanium (Ti), aluminum (Al), and the like
  • a third, or top, layer which may be a metal such as, but not limited to, cobalt, tungsten, ruthenium, and the like.
  • the source/drain material 116 / 118 are raised source/drain (S/D) regions formed by EPI growth.
  • a sacrificial material 112 is on the source/drain region 117 .
  • the sacrificial material 112 may comprise any suitable material known to one of skill in the art.
  • the sacrificial material 112 comprises a suitable material that can be selectively etched for form a trench that will be filled with a suitable contact material.
  • a selective pillar growth technique is used to grow pillars 122 on the gate metal 110 .
  • the gate metal 110 comprises a set of conductive lines (not illustrate) that extend along a first direction. Pillars 122 are formed on the gate metal 110 .
  • FIG. 2 illustrates pillars 122 being grown on an optional liner 120 .
  • the optional liner 120 can be conformal liner 120 .
  • the conformal liner 120 can be any suitable metal liner material known to the skilled artisan.
  • the conformal liner 120 comprises a metal nitride film.
  • the conformal liner 120 comprises one or more of tungsten nitride, tantalum nitride, or titanium nitride.
  • self-aligned selective growth pillars 122 are formed using a seed gapfill layer, optionally on the liner 122 , on the recessed conductive lines of the gate metal 110 .
  • the term “self-aligned growth pillars” refers to columns of a metal that are used to form self-aligned vias.
  • the self-aligned growth pillars have a height 121 of about 5 angstroms ( ⁇ ) to about 10 microns ( ⁇ m) that extends above the top surface of the electronic device 100 .
  • the width of the self-aligned growth pillars is in a range of about 0.5 nm to about 2000 nm.
  • the self-aligned vias 129 have a minimum width that is equal to the width of the self-aligned growth pillars 122 .
  • the width of the self-aligned vias 129 may be increased by trimming and/or removing the spacer material 124 .
  • the pillars 122 extend substantially orthogonally from the top surfaces of the gate metal 110 . As shown in FIG. 2 , the pillars 122 extend along the same direction as the conductive lines of the gate metal 110 , and are separated by gaps 123 .
  • a seed gapfill layer (not illustrated) is deposited on the gate metal 110 .
  • seed gapfill layer is a self-aligned selective growth seed film.
  • the seed gapfill layer is deposited on gate metal 110 on the top surface of the recessed conductive lines.
  • the seed gapfill layer is a tungsten (W) layer, or other seed gapfill layer to provide selective growth pillars.
  • the seed gapfill layer is a metal film or a metal containing film.
  • Suitable metal films include, but are not limited to, films including one or more of cobalt (Co), molybdenum (Mo), tungsten (W), tantalum (Ta), titanium (Ti), ruthenium (Ru), rhodium (Rh), copper (Cu), iron (Fe), manganese (Mn), vanadium (V), niobium (Nb), hafnium (Hf), zirconium (Zr), yttrium (Y), aluminum (Al), tin (Sn), chromium (Cr), lanthanum (La), or any combination thereof.
  • the seed gapfill layer is a tungsten (W) seed gapfill layer.
  • the seed gapfill layer is deposited using one or more deposition techniques, such as but not limited to ALD, CVD, PVD, MBE, MOCVD, spin-on or other deposition techniques known to one of ordinary skill in the art of microelectronic device manufacturing.
  • deposition techniques such as but not limited to ALD, CVD, PVD, MBE, MOCVD, spin-on or other deposition techniques known to one of ordinary skill in the art of microelectronic device manufacturing.
  • portions of the seed gapfill layer above the gate metal 110 are expanded for example, by oxidation, nitridation, or other process to grow pillars 122 .
  • the seed gap fill layer is oxidized by exposure to an oxidizing agent or oxidizing conditions to transform the metal or metal containing seed gapfill layer to metal oxide pillars 122 .
  • pillars 122 include an oxide of one or more metals listed above.
  • pillars 122 include tungsten oxide (e.g., WO, WO 3 and other tungsten oxide).
  • the oxidizing agent can be any suitable oxidizing agent including, but not limited to, O 2 , O 3 , N 2 O, H 2 O, H 2 O 2 , CO, CO 2 , NH 3 , N 2 /Ar, N 2 /He, N 2 /Ar/He or any combination thereof.
  • the oxidizing conditions comprise a thermal oxidation, plasma enhanced oxidation, remote plasma oxidation, microwave and radio-frequency oxidation (e.g., inductively coupled plasma (ICP), capacitively coupled plasma (CCP)).
  • ICP inductively coupled plasma
  • CCP capacitively coupled plasma
  • the pillars 122 are formed by oxidation of the seed gapfill layer at any suitable temperature depending on, for example, the composition of the seed gapfill layer and the oxidizing agent.
  • the oxidation occurs at a temperature in an approximate range of about 25° C. to about 800° C. In some embodiments, the oxidation occurs at a temperature greater than or equal to about 150° C.
  • the height 121 of the pillars 122 is in an approximate range from about 5 angstroms ( ⁇ ) to about 10 microns ( ⁇ m).
  • a spacer material 124 is deposited.
  • the spacer material 124 is deposited using any suitable deposition technique known to one of skill in the art.
  • the formation of the spacer material 124 requires deposition following be selective etching.
  • a spacer material 124 is formed adjacent the first side 106 and the second side 108 of the pillars 122 .
  • the spacer material 124 on either side of the pillars 122 can be the same material or different materials.
  • the spacer material 124 adjacent the first side 106 of the pillar 122 can be referred to as the first spacer material 124 and the spacer material 124 adjacent the second side 108 of the pillar 122 can be referred to as the second spacer material 124 .
  • the first spacer material 124 and the second spacer material 124 can be the same material or can be different materials. In one or more embodiments, the first spacer material 124 and the second spacer material 124 are the same material.
  • the spacer material 124 can be made of any suitable material known to the skilled artisan.
  • the spacer material 124 of some embodiments comprises a low-K dielectric material.
  • spacer material 124 is selected from aluminum oxide (AlO), silicon carbonitride (SiCN), silicon oxycarbide (SiOC), or silicon oxycarbonitride (SiONC).
  • sacrificial material 112 is removed to form an opening 126 .
  • the sacrificial material 112 may be removed by any suitable technique known to one of skill in the art, including, but not limited to, etching.
  • a metal is deposited into opening 126 for form a source/drain contact 128 .
  • the metal may be deposited by any suitable deposition technique known to one of skill in the art including, but not limited to, ALD, CVD, PVD, MBE, MOCVD, spin-on or other deposition techniques known to one of ordinary skill in the art of microelectronic device manufacturing.
  • the source/drain contact 128 comprises one or more of nitrogen (N), copper (Cu), cobalt (Co), tungsten (W), titanium (Ti), molybdenum (Mo), nickel (Ni), ruthenium (Ru), silver (Ag), gold (Au), iridium (Ir), tantalum (Ta), or platinum (Pt).
  • a conformal liner 130 may be deposited in opening 126 prior to deposition of a metal to form source/drain contact 128 .
  • the conformal liner 130 can be any suitable metal liner material known to the skilled artisan.
  • the conformal liner 130 comprises a metal nitride film.
  • the conformal liner 130 comprises one or more of tungsten nitride, tantalum nitride, or titanium nitride.
  • “Atomic layer deposition” or “cyclical deposition” as used herein refers to the sequential exposure of two or more reactive compounds to deposit a layer of material on a substrate surface.
  • the substrate, or portion of the substrate is exposed separately to the two or more reactive compounds which are introduced into a reaction zone of a processing chamber.
  • a time-domain ALD process exposure to each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface and then be purged from the processing chamber. These reactive compounds are said to be exposed to the substrate sequentially.
  • a spatial ALD process different portions of the substrate surface, or material on the substrate surface, are exposed simultaneously to the two or more reactive compounds so that any given point on the substrate is substantially not exposed to more than one reactive compound simultaneously.
  • the term “substantially” used in this respect means, as will be understood by those skilled in the art, that there is the possibility that a small portion of the substrate may be exposed to multiple reactive gases simultaneously due to diffusion, and that the simultaneous exposure is unintended.
  • a first reactive gas i.e., a first precursor or compound A, e.g. aluminum precursor
  • a second precursor or compound B e.g. oxidant
  • a purge gas such as argon
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface.
  • the ALD process of pulsing compound A, purge gas, compound B and purge gas is a cycle.
  • a cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the predetermined thickness.
  • a first reactive gas and second reactive gas are delivered simultaneously to the reaction zone but are separated by an inert gas curtain and/or a vacuum curtain.
  • the substrate is moved relative to the gas delivery apparatus so that any given point on the substrate is exposed to the first reactive gas and the second reactive gas.
  • chemical vapor deposition refers to a process in which a substrate surface is exposed to precursors and/or co-reagents simultaneous or substantially simultaneously.
  • substantially simultaneously refers to either co-flow or where there is overlap for a majority of exposures of the precursors.
  • PECVD Plasma enhanced chemical vapor deposition
  • a hydrocarbon source such as a gas-phase hydrocarbon or a vapor of a liquid-phase hydrocarbon that have been entrained in a carrier gas
  • a plasma-initiated gas typically helium
  • Plasma is then initiated in the chamber to create excited CH-radicals.
  • the excited CH-radicals are chemically bound to the surface of a substrate positioned in the chamber, forming the desired film thereon.
  • Embodiments described herein in reference to a PECVD process can be carried out using any suitable thin film deposition system. Any apparatus description described herein is illustrative and should not be construed or interpreted as limiting the scope of the embodiments described herein.
  • the source/drain contact 128 and the optional conformal liner 130 are recessed to form gaps 127 between the pillars 122 and spacer material 124 .
  • the recessing of the source/drain contact can be conducted by any technique known to one of skill in the art including, but not limited to, selective etching.
  • a dielectric material 132 is deposited in gaps 127 and on the top surface of the pillars 122 , the source material 124 , and the source/drain contact 128 , and optional conformal liner 130 .
  • the dielectric material 124 may be any suitable material known to one of skill in the art.
  • the dielectric material 132 comprise one or more of silicon carbide (SiC), silicon nitride (SiN), tungsten carbide (WC), or tungsten oxide (WO).
  • an overburden 133 of the dielectric material 132 is formed. With reference to FIG.
  • the overburden 133 is removed by any suitable technique known to one of skill in the art including, but not limited to, chemical mechanical planarization (CMP).
  • CMP chemical mechanical planarization
  • the dielectric material 132 is substantially coplanar with the top surface of the pillars 122 and the spacer material 124 .
  • the self-aligned selectively grown pillars 122 are selectively removed to form trenches 129 .
  • the pillars 122 are removed selectively to the gate metal 110 and the optional conformal liner 120 .
  • liner 120 is a non-conductive liner
  • liner 120 is removed.
  • the pillars 122 and liner 120 are removed selectively to the gate metal 110 .
  • trenches 129 are formed in the dielectric material 132 . As illustrated in FIG.
  • each trench 129 has a bottom that is a top surface of the gate metal 110 and the optional liner 130 and opposing sidewalls that include a sidewall portion of spacer material 124 .
  • each trench 129 when liner 120 is removed, each trench 129 has a bottom that is a top surface of gate metal 110 and opposing sidewalls that include portions of spacer material 124 .
  • the aspect ratio of the trench 129 refers to the ratio of the depth of the trench to the width of the trench. In one embodiment, the aspect ratio of each trench 129 is in an approximate range from about 1:1 to about 200:1.
  • the pillars 122 are selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the pillars 122 are selectively wet etched by e.g., 5 wt % of ammonium hydroxide (NH 4 OH) aqueous solution at the temperature of about 80 degrees C.
  • hydrogen peroxide (H 2 O 2 ) is added to the 5 wt % NH 4 OH aqueous solution to increase the etching rate of the pillars 122 .
  • the pillars 122 are selectively wet etched using hydrofluoric acid (HF) and nitric acid (HNO 3 ) in a ratio of 1:1. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO 3 in a ratio of 3:7 respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO 3 in a ratio of 4:1, respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO 3 in a ratio of 30%:70%, respectively.
  • HF hydrofluoric acid
  • HNO 3 nitric acid
  • the pillars 122 including tungsten, titanium or both titanium and tungsten are selectively wet etched using NH 4 OH and H 2 O 2 in a ratio of 1:2, respectively.
  • the pillars 122 are selectively wet etched using 305 grams of potassium ferricyanide (K 3 Fe(CN) 6 ), 44.5 grams of sodium hydroxide (NaOH) and 1000 ml of water (H 2 O).
  • the pillars 122 are selectively wet etched using diluted or concentrated one or more of the chemistries including hydrochloric acid (HCl), HNO 3 , surfuric acid (H 2 SO 4 ), HF, and H 2 O 2 .
  • the pillars 122 are selectively wet etched using HF, HNO 3 and acetic acid (HAc) in a ratio of 4:4:3, respectively. In one embodiment, the pillars 122 are selectively dry etched using a bromotrifluoromethane (CBrF3) reactive ion etching (RIE) technique. In one embodiment, the pillars 122 are selectively dry etched using chlorine, fluorine, bromine or any combination thereof based chemistries. In one embodiment, the pillars 122 are selectively wet etched using hot or warm Aqua Regia mixture including HCl and HNO 3 in a ratio of 3:1, respectively.
  • CBrF3 bromotrifluoromethane
  • RIE reactive ion etching
  • the pillars 122 are selectively etched using alkali with oxidizers (potassium nitrate (KNOB) and lead dioxide (PbO 2 )).
  • the liner 120 is selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the spacer material 124 is trimmed or etched such that a sidewall of the trench 129 comprises spacer material 124 that is substantially coplanar.
  • the trench 129 is then filled with a second dielectric material 134 .
  • the dielectric material 134 may be any suitable material known to one of skill in the art.
  • the dielectric material 133 comprises one or more of silicon carbide (SiC), silicon nitride (SiN), tungsten carbide (WC), or tungsten oxide (WO).
  • the dielectric material 134 comprises SiN.
  • an overburden (not illustrated) of the dielectric material 134 is formed.
  • the overburden (not illustrated) may be removed by any suitable technique known to one of skill in the art including, but not limited to, chemical mechanical planarization (CMP).
  • CMP chemical mechanical planarization
  • an electronic device 100 comprises a substrate 102 having an active region with at least one gate stack 104 formed thereon, the at least one gate stack 104 having a first side 106 and a second side 108 and comprising a source/drain 116 / 118 and a gate metal 110 .
  • a source/drain contact 128 is on the source/drain 116 / 118 .
  • a first dielectric material 132 is on the contact 128 .
  • a spacer material 124 is adjacent the first side 106 and the second side 108 of the at least one gate stack 104 , and a second dielectric material 134 is on the at least one gate stack 104 .
  • the gate metal 110 has a thickness in a range of about 0.5 nm to about 2000 nm and the second dielectric 134 has a thickness in a range of about 0.5 nm to about 2000 nm. In one or more embodiments, the gate metal 110 has a thickness in a range of about 0.5 nm to about 2000 nm, including about 0.5 nm to about 1500 nm, about 0.5 nm to about 1000 nm, about 0.5 nm to about 500 nm, and about 0.5 nm to about 250 nm.
  • the second dielectric 134 has a thickness in a range of about 0.5 nm to about 2000 nm, including about 0.5 nm to about 1500 nm, about 0.5 nm to about 1000 nm, about 0.5 nm to about 500 nm, and about 0.5 nm to about 250 nm. Without intending to be bound by theory, it Is thought that the thickness (or height) of the dielectric material 134 advantageously increases the margin against shorting defects. Additionally, it is thought that dielectric material 134 advantageously provides extra etch selectivity such that the margin against shorting for is increased further.
  • FIGS. 12-15 refer to alternative embodiments of the invention.
  • the electronic device of FIG. 5 is further processed.
  • the self-aligned selectively grown pillars 122 are selectively removed to form trenches 129 .
  • the pillars 122 are removed selectively to the gate metal 110 and the optional conformal liner 120 .
  • liner 120 is a non-conductive liner
  • liner 120 is removed.
  • the pillars 122 and liner 120 are removed selectively to the gate metal 110 .
  • trenches 129 are formed in the dielectric material 132 . As illustrated in FIG.
  • each trench 129 has a bottom that is a top surface of the gate metal 110 and the optional liner 130 and opposing sidewalls that include a sidewall portion of spacer material 124 .
  • each trench 129 when liner 120 is removed, has a bottom that is a top surface of gate metal 110 and opposing sidewalls that include portions of spacer material 124 .
  • the aspect ratio of the trench 129 refers to the ratio of the depth of the trench to the width of the trench. In one embodiment, the aspect ratio of each trench 129 is in an approximate range from about 1:1 to about 200:1.
  • the pillars 122 are selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the pillars 122 are selectively wet etched by e.g., 5 wt % of ammonium hydroxide (NH 4 OH) aqueous solution at the temperature of about 80 degrees C.
  • hydrogen peroxide (H 2 O 2 ) is added to the 5 wt % NH 4 OH aqueous solution to increase the etching rate of the pillars 122 .
  • the pillars 122 are selectively wet etched using hydrofluoric acid (HF) and nitric acid (HNO 3 ) in a ratio of 1:1. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO 3 in a ratio of 3:7 respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO 3 in a ratio of 4:1, respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO 3 in a ratio of 30%:70%, respectively.
  • HF hydrofluoric acid
  • HNO 3 nitric acid
  • the pillars 122 including tungsten, titanium or both titanium and tungsten are selectively wet etched using NH 4 OH and H 2 O 2 in a ratio of 1:2, respectively.
  • the pillars 122 are selectively wet etched using 305 grams of potassium ferricyanide (K 3 Fe(CN) 6 ), 44.5 grams of sodium hydroxide (NaOH) and 1000 ml of water (H 2 O).
  • the pillars 122 are selectively wet etched using diluted or concentrated one or more of the chemistries including hydrochloric acid (HCl), HNO 3 , surfuric acid (H 2 SO 4 ), HF, and H 2 O 2 .
  • the pillars 122 are selectively wet etched using HF, HNO 3 and acetic acid (HAc) in a ratio of 4:4:3, respectively. In one embodiment, the pillars 122 are selectively dry etched using a bromotrifluoromethane (CBrF3) reactive ion etching (RIE) technique. In one embodiment, the pillars 122 are selectively dry etched using chlorine, fluorine, bromine or any combination thereof based chemistries. In one embodiment, the pillars 122 are selectively wet etched using hot or warm Aqua Regia mixture including HCl and HNO 3 in a ratio of 3:1, respectively.
  • CBrF3 bromotrifluoromethane
  • RIE reactive ion etching
  • the pillars 122 are selectively etched using alkali with oxidizers (potassium nitrate (KNOB) and lead dioxide (PbO 2 )).
  • the liner 120 is selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the spacer material 124 is trimmed or etched such that a sidewall of the trench 129 comprises spacer material 124 that is substantially coplanar.
  • the trench 129 is then filled with a dielectric material 134 .
  • the dielectric material 134 may be any suitable material known to one of skill in the art.
  • the dielectric material 133 comprises one or more of silicon carbide (SiC), silicon nitride (SiN), tungsten carbide (WC), or tungsten oxide (WO).
  • the dielectric material 134 comprises SiN.
  • the dielectric material 134 has a thickness in a range of about 0.5 nm to about 2000 nm.
  • an overburden (not illustrated) of the dielectric material 134 is formed.
  • the overburden (not illustrated) may be removed by any suitable technique known to one of skill in the art including, but not limited to, chemical mechanical planarization (CMP).
  • CMP chemical mechanical planarization
  • the dielectric material 134 when the overburden (not illustrated) of the dielectric material 134 is removed, the dielectric material 134 is substantially coplanar with the top surface of the source/drain contact 128 , the optional conformal liner 130 , and the spacer material 124 .
  • a contact 136 is deposited on the top surface of source/drain contact 128 .
  • the contact 136 may be deposited by any deposition technique known to one of skill in the art. Without intending to be bound by theory, the thickness of the dielectric material 134 advantageously increases the margin against shorting for contact 136 . Additionally, it is thought that dielectric material 134 advantageously provides extra etch selectivity such that the margin against shorting for contact 136 is increased further.
  • an electronic device 100 comprises a substrate 102 having an active region with at least one gate stack 104 formed thereon, the at least one gate stack 104 having a first side 106 and a second side 108 and comprising a source/drain 116 / 118 and a gate metal 110 .
  • a source/drain contact 128 is on the source/drain 116 / 118 .
  • a spacer material 124 is adjacent the first side 106 and the second side 108 of the at least one gate stack 104 , and a dielectric material 134 is on the at least one gate stack 104 .
  • the gate metal 110 has a thickness in a range of about 0.5 nm to about 2000 nm and the dielectric 134 has a thickness in a range of about 0.5 nm to about 2000 nm.
  • a contact 136 is on the source/drain contact 128 .
  • the thickness of the dielectric material 134 advantageously increases the margin against shorting for contact 136 . Additionally, it is thought that dielectric material 134 advantageously provides extra etch selectivity such that the margin against shorting for contact 136 is increased further.
  • FIGS. 16-19 refer to alternative embodiments of the invention.
  • the electronic device of FIG. 5 is further processed.
  • the self-aligned selectively grown pillars 122 are selectively removed to form trenches 129 .
  • the pillars 122 are removed selectively to the gate metal 110 and the optional conformal liner 120 .
  • liner 120 is a non-conductive liner
  • liner 120 is removed.
  • the pillars 122 and liner 120 are removed selectively to the gate metal 110 .
  • trenches 129 are formed in the dielectric material 132 . As illustrated in FIG.
  • each trench 129 has a bottom that is a top surface of the gate metal 110 and the optional liner 130 and opposing sidewalls that include a sidewall portion of spacer material 124 .
  • each trench 129 when liner 120 is removed, has a bottom that is a top surface of gate metal 110 and opposing sidewalls that include portions of spacer material 124 .
  • the aspect ratio of the trench 129 refers to the ratio of the depth of the trench to the width of the trench. In one embodiment, the aspect ratio of each trench 129 is in an approximate range from about 1:1 to about 200:1.
  • the pillars 122 are selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the pillars 122 are selectively wet etched by e.g., 5 wt % of ammonium hydroxide (NH 4 OH) aqueous solution at the temperature of about 80 degrees C.
  • hydrogen peroxide (H 2 O 2 ) is added to the 5 wt % NH 4 OH aqueous solution to increase the etching rate of the pillars 122 .
  • the pillars 122 are selectively wet etched using hydrofluoric acid (HF) and nitric acid (HNO 3 ) in a ratio of 1:1. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO 3 in a ratio of 3:7 respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO 3 in a ratio of 4:1, respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO 3 in a ratio of 30%:70%, respectively.
  • HF hydrofluoric acid
  • HNO 3 nitric acid
  • the pillars 122 including tungsten, titanium or both titanium and tungsten are selectively wet etched using NH 4 OH and H 2 O 2 in a ratio of 1:2, respectively.
  • the pillars 122 are selectively wet etched using 305 grams of potassium ferricyanide (K 3 Fe(CN) 6 ), 44.5 grams of sodium hydroxide (NaOH) and 1000 ml of water (H 2 O).
  • the pillars 122 are selectively wet etched using diluted or concentrated one or more of the chemistries including hydrochloric acid (HCl), HNO 3 , surfuric acid (H 2 SO 4 ), HF, and H 2 O 2 .
  • the pillars 122 are selectively wet etched using HF, HNO 3 and acetic acid (HAc) in a ratio of 4:4:3, respectively. In one embodiment, the pillars 122 are selectively dry etched using a bromotrifluoromethane (CBrF3) reactive ion etching (RIE) technique. In one embodiment, the pillars 122 are selectively dry etched using chlorine, fluorine, bromine or any combination thereof based chemistries. In one embodiment, the pillars 122 are selectively wet etched using hot or warm Aqua Regia mixture including HCl and HNO 3 in a ratio of 3:1, respectively.
  • CBrF3 bromotrifluoromethane
  • RIE reactive ion etching
  • the pillars 122 are selectively etched using alkali with oxidizers (potassium nitrate (KNOB) and lead dioxide (PbO 2 )).
  • the liner 120 is selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the spacer material 124 is removed such that a sidewall of the trench 129 comprises source/drain contact 128 or optional conformal liner 130 .
  • the spacer material 124 may be removed by any technique to known one of skill in the art that is selective to the source/drain contact 128 and the optional conformal liner 130 .
  • the trench 129 is then filled with a dielectric material 134 .
  • the dielectric material 134 may be any suitable material known to one of skill in the art.
  • the dielectric material 134 comprises one or more of silicon carbide (SiC), silicon nitride (SiN), tungsten carbide (WC), or tungsten oxide (WO).
  • the dielectric material 134 comprises SiN.
  • an overburden (not illustrated) of the dielectric material 134 is formed.
  • the overburden (not illustrated) may be removed by any suitable technique known to one of skill in the art including, but not limited to, chemical mechanical planarization (CMP).
  • CMP chemical mechanical planarization
  • the dielectric material 134 when the overburden (not illustrated) of the dielectric material 134 is removed, the dielectric material 134 is substantially coplanar with the top surface of the source/drain contact 128 , the optional conformal liner 130 , and the spacer material 124 . In one or more embodiments, the dielectric material 134 has a thickness in a range of about 0.5 nm to about 2000 nm.
  • a contact 136 is deposited on the top surface of source/drain contact 128 .
  • the contact 136 may be deposited by any deposition technique known to one of skill in the art. Without intending to be bound by theory, the thickness of the dielectric material 134 advantageously increases the margin against shorting for contact 136 . Additionally, it is thought that dielectric material 134 advantageously provides extra etch selectivity such that the margin against shorting for contact 136 is increased further.
  • an electronic device 100 comprises a substrate 102 having an active region with at least one gate stack 104 formed thereon, the at least one gate stack 104 having a first side 106 and a second side 108 and comprising a source/drain 116 / 118 and a gate metal 110 .
  • a source/drain contact 128 is on the source/drain 116 / 118 .
  • a dielectric material 134 is on the at least one gate stack 104 .
  • the gate metal 110 has a thickness in a range of about 0.5 nm to about 2000 nm and the dielectric 134 has a thickness in a range of about 0.5 nm to about 2000 nm.
  • a contact 136 is on the source/drain contact 128 .
  • the thickness of the dielectric material 134 advantageously increases the margin against shorting for contact 136 . Additionally, it is thought that dielectric material 134 advantageously provides extra etch selectivity such that the margin against shorting for contact 136 is increased further.
  • FIG. 20 depicts a flow diagram of a method 700 of manufacturing an electronic device.
  • the method 700 begins at operation 710 , wherein a substrate having an active region with at least one gate stack formed thereon, the at least one gate stack having a first side and a second side and comprising a gate metal having a thickness in a range of about 0.5 nm to about 2000 nm, a source/drain on the substrate, and a sacrificial material on the source/drain is provided.
  • a conformal gate liner surrounds the gate metal.
  • pillars are formed on the gate metal.
  • the method further comprises selectively growing a seed layer on the gate metal, such that the pillars are formed on the seed layer.
  • a spacer material is formed on the first side and second side of the pillars.
  • the sacrificial material is removed to form an opening to the source/drain.
  • a metal is deposited in the opening to form a source/drain contact.
  • the pillars are removed to form trenches, and, at operation 770 , a dielectric material is deposited through the trenches onto the gate metal.
  • the spacer material is removed.
  • the method further comprises depositing a contact on the source/drain contact.
  • the method further comprises recessing the source/drain contact to form gaps between the pillars, followed by depositing a second dielectric material into the gaps.
  • the method further comprises, prior to depositing a metal, depositing a liner material (e.g. a conformal liner) into the trenches to form a conformal liner around the source/drain contact.
  • a liner material e.g. a conformal liner
  • the method further comprises, prior to depositing the dielectric material, removing the conformal gate liner and etching the spacer material.
  • Additional embodiments of the disclosure are directed to processing tools 900 for the formation of the memory devices and methods described, as shown in FIG. 21 .
  • the cluster tool 900 includes at least one central transfer station 921 , 931 with a plurality of sides.
  • a robot 925 , 935 is positioned within the central transfer station 921 , 931 and is configured to move a robot blade and a wafer to each of the plurality of sides.
  • the cluster tool 900 comprises a plurality of processing chambers 902 , 904 , 906 , 908 , 910 , 912 , 914 , 916 , and 918 , also referred to as process stations, connected to the central transfer station.
  • the various processing chambers provide separate processing regions isolated from adjacent process stations.
  • the processing chamber can be any suitable chamber including, but not limited to, a preclean chamber, a buffer chamber, transfer space(s), a wafer orienter/degas chamber, a cryo cooling chamber, a deposition chamber, annealing chamber, etching chamber and a blocking oxide material deposition chamber.
  • the particular arrangement of process chambers and components can be varied depending on the cluster tool and should not be taken as limiting the scope of the disclosure.
  • the cluster tool 900 includes a blocking oxide material deposition chamber.
  • the a blocking oxide material deposition chamber of some embodiments comprises one or more of an atomic layer deposition chamber, a plasma enhanced atomic layer deposition chamber, a chemical vapor deposition chamber, a plasma enhanced chemical vapor deposition chamber, a spatial atomic layer deposition chamber, or a physical deposition chamber.
  • the cluster tool 900 includes a pre-cleaning chamber connected to the central transfer station.
  • a factory interface 950 is connected to a front of the cluster tool 900 .
  • the factory interface 950 includes a loading chamber 954 and an unloading chamber 956 on a front 951 of the factory interface 950 . While the loading chamber 954 is shown on the left and the unloading chamber 956 is shown on the right, those skilled in the art will understand that this is merely representative of one possible configuration.
  • the size and shape of the loading chamber 954 and unloading chamber 956 can vary depending on, for example, the substrates being processed in the cluster tool 900 .
  • the loading chamber 954 and unloading chamber 956 are sized to hold a wafer cassette with a plurality of wafers positioned within the cassette.
  • a robot 952 is within the factory interface 950 and can move between the loading chamber 954 and the unloading chamber 956 .
  • the robot 952 is capable of transferring a wafer from a cassette in the loading chamber 954 through the factory interface 950 to load lock chamber 960 .
  • the robot 952 is also capable of transferring a wafer from the load lock chamber 962 through the factory interface 950 to a cassette in the unloading chamber 956 .
  • the factory interface 950 can have more than one robot 952 .
  • the factory interface 950 may have a first robot that transfers wafers between the loading chamber 954 and load lock chamber 960 , and a second robot that transfers wafers between the load lock 962 and the unloading chamber 956 .
  • the cluster tool 900 shown has a first section 920 and a second section 930 .
  • the first section 920 is connected to the factory interface 950 through load lock chambers 960 , 962 .
  • the first section 920 includes a first transfer chamber 921 with at least one robot 925 positioned therein.
  • the robot 925 is also referred to as a robotic wafer transport mechanism.
  • the first transfer chamber 921 is centrally located with respect to the load lock chambers 960 , 962 , process chambers 902 , 904 , 916 , 918 , and buffer chambers 922 , 924 .
  • the robot 925 of some embodiments is a multi-arm robot capable of independently moving more than one wafer at a time.
  • the first transfer chamber 921 comprises more than one robotic wafer transfer mechanism.
  • the robot 925 in first transfer chamber 921 is configured to move wafers between the chambers around the first transfer chamber 921 . Individual wafers are carried upon a wafer transport blade that is located at a distal end of the first robotic mechanism.
  • the wafer After processing a wafer in the first section 920 , the wafer can be passed to the second section 930 through a pass-through chamber.
  • chambers 922 , 924 can be uni-directional or bi-directional pass-through chambers.
  • the pass-through chambers 922 , 924 can be used, for example, to cryo cool the wafer before processing in the second section 930 , or allow wafer cooling or post-processing before moving back to the first section 920 .
  • a system controller 990 is in communication with the first robot 925 , second robot 935 , first plurality of processing chambers 902 , 904 , 916 , 918 and second plurality of processing chambers 906 , 908 , 910 , 912 , 914 .
  • the system controller 990 can be any suitable component that can control the processing chambers and robots.
  • the system controller 990 can be a computer including a central processing unit (CPU) 992 , memory 994 , inputs/outputs (I/O) 996 , and support circuits 998 .
  • the controller 990 may control the processing tool 900 directly, or via computers (or controllers) associated with particular process chamber and/or support system components.
  • the controller 990 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the memory 994 or computer readable medium of the controller 990 may be one or more of readily available memory such as non-transitory memory (e.g. random access memory (RAM)), read only memory (ROM), floppy disk, hard disk, optical storage media (e.g., compact disc or digital video disc), flash drive, or any other form of digital storage, local or remote.
  • RAM random access memory
  • ROM read only memory
  • floppy disk e.g., hard disk
  • optical storage media e.g., compact disc or digital video disc
  • flash drive e.g., compact disc or digital video disc
  • the support circuits 998 are coupled to the CPU 992 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • One or more processes may be stored in the memory 994 as software routine that, when executed or invoked by the processor, causes the processor to control the operation of the processing tool 900 or individual processing units in the manner described herein.
  • the software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 992 .
  • Some or all of the processes and methods of the present disclosure may also be performed in hardware.
  • the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware.
  • the software routine when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • the controller 990 has one or more configurations to execute individual processes or sub-processes to perform the method.
  • the controller 990 can be connected to and configured to operate intermediate components to perform the functions of the methods.
  • the controller 990 can be connected to and configured to control a physical vapor deposition chamber.
  • Processes may generally be stored in the memory of the system controller 990 as a software routine that, when executed by the processor, causes the process chamber to perform processes of the present disclosure.
  • the software routine may also be stored and/or executed by a second processor (not shown) that is remotely located from the hardware being controlled by the processor. Some or all of the method of the present disclosure may also be performed in hardware.
  • the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware.
  • the software routine when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

Abstract

Methods of forming and processing semiconductor devices which utilize a three-color process are described. Certain embodiments relate to the formation of self-aligned contacts for metal gate applications. More particularly, certain embodiments relate to the formation of self-aligned gate contacts utilizing the formation of self-aligned growth pillars. The pillars lead to taller gate heights and increased margins against shorting defects.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a Divisional of U.S. application Ser. No. 16/798,817, filed on Feb. 24, 2020, which claims priority to U.S. Provisional Application No. 62/812,575, filed Mar. 1, 2019, the entire disclosures of which are hereby incorporated by reference herein.
  • TECHNICAL FIELD
  • Embodiments of the present invention pertain to the field of semiconductor device manufacturing and methods for device patterning. In particular, embodiments pertain to the electrical contacts in a transistor device and methods of forming self-aligned contacts and contact over active gate structures.
  • BACKGROUND
  • Reducing the size of integrated circuits (ICs) results in improved performance, increased capacity, and/or reduced cost. Each size reduction requires more sophisticated techniques to form the ICs. Shrinking transistor size, for example, allows for the incorporation of an increased number of memory or logic devices on a chip, lending to the fabrication of products with increased capacity. The drive for ever-more capacity, however, is not without issue. The necessity to optimize the performance of each device becomes increasingly significant.
  • In the manufacture of ICs, multi-gate transistors have become more prevalent as device dimensions continue to scale down. Scaling multi-gate transistors has not been without consequence, however. As the dimensions of these fundamental building blocks of microelectronic circuitry are reduced, and as the number of building blocks fabricated in a given region increases, the constraints on the lithographic processes used to pattern these building blocks becomes overwhelming.
  • Photolithography is commonly used to pattern ICs on a substrate. An exemplary feature of an IC is a line of a material which may be a metal, semiconductor, or insulator. Due to factors such as optics and light or radiation wavelength, however, photolithography techniques are restricted by a minimum pitch, below which a particular photolithographic technique may not reliably form features. Thus, the minimum pitch of a photolithographic technique can limit feature size reduction of ICs.
  • Processes such as self-aligned double patterning (SADP), self-aligned quadruple patterning (SAQP), and litho-etch-litho-etch (LELE) may be used for extending the capabilities of photolithographic techniques beyond the minimum pitch capabilities of existing lithographic equipment. Following the SADP, SAQP, or LELE process, multi-cut or block masks are placed over the lines and spaces generated by SADP, SAQP, or LELE processes to perform device patterning. As the feature size decreases, pitch and linewidth also decrease.
  • In the manufacturing of self-aligned contacts (SAC), a protective silicon nitride (SiN) cap is installed above the gate to enable larger tolerance against shorts arising from next-layer overlay errors. Similarly, in the manufacturing of contact over active gate (COAG) structures, dielectric caps are used to enable larger tolerance against shorts that arise from next-layer overlay errors. During this processing, however, gate height is lost at multiple points, leading to a reduced thickness in the protective caps and reduced margin against shorts. Therefore, there is a need for improved devices, and methods of manufacturing said devices, having taller gate heights and increased margin against shorting defects.
  • SUMMARY
  • One or more embodiments of the disclosure are directed to electronic devices and to methods of manufacturing the electronic devices. In one embodiment, an electronic device comprises a substrate having an active region with at least one gate stack formed thereon, the at least one gate stack having a first side and a second side and comprising a source/drain and a gate metal. A source/drain contact is on the source/drain. A first dielectric is on the contact. A spacer material is adjacent the first side and the second side of the at least one gate stack, and a second dielectric is on the at least one gate stack. The gate metal has a thickness in a range of about 0.5 nm to about 2000 nm and the second dielectric has a thickness in a range of 0.5 nm to about 2000 nm.
  • In one embodiment, a method of manufacturing an electronic device comprises providing a substrate having an active region with at least one gate stack formed thereon, the at least one gate stack having a first side and a second side and comprising a gate metal having a thickness in a range of 0.5 nm to about 2000 nm, a source/drain on the substrate, and a sacrificial material on the source/drain. Pillars are formed on the gate metal. A spacer material is formed on the first side and second side of the pillars. The sacrificial material is removed to form an opening to the source/drain. A metal is deposited in the opening to form a source/drain contact. The pillars are removed to form trenches, and a dielectric material is deposited through the trenches onto the gate metal.
  • In one an embodiment, an electronic device comprises a substrate having an active region with at least one gate stack formed thereon, the at least one gate stack having a first side and a second side and comprising a source/drain and a gate metal. A source/drain contact is on the source/drain, and a dielectric is on the at least one gate stack. The gate metal has a thickness in a range of 0.5 nm to about 2000 nm and the dielectric has a thickness in a range of 0.5 nm to about 2000 nm.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments. The embodiments as described herein are illustrated by way of example and not limitation in the figures of the accompanying drawings in which like references indicate similar elements.
  • FIG. 1 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 2 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 3 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 4 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 5 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 6 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 7 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 8 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 9 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 10 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 11 illustrates a cross-section view of an electronic device according to one or more embodiments of the disclosure;
  • FIG. 12 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure;
  • FIG. 13 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure;
  • FIG. 14 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure;
  • FIG. 15 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure;
  • FIG. 16 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure;
  • FIG. 17 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure;
  • FIG. 18 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure;
  • FIG. 19 illustrates a cross-section view of an electronic device according to one or more alternative embodiments of the disclosure;
  • FIG. 20 depicts a flow process diagram of one embodiment of a method of forming a thin film according to embodiments described herein; and
  • FIG. 21 illustrates a cluster tool according to one or more embodiments.
  • DETAILED DESCRIPTION
  • Before describing several exemplary embodiments of the disclosure, it is to be understood that the disclosure is not limited to the details of construction or process steps set forth in the following description. The disclosure is capable of other embodiments and of being practiced or being carried out in various ways.
  • A “substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in the present disclosure, any of the film processing steps disclosed may also be performed on an under-layer formed on the substrate as disclosed in more detail below, and the term “substrate surface” is intended to include such under-layer as the context indicates. Thus for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.
  • As used in this specification and the appended claims, the terms “precursor”, “reactant”, “reactive gas” and the like are used interchangeably to refer to any gaseous species that can react with the substrate surface.
  • As used in this specification and the appended claims, the term “linewidth” refers to the width of the line of a material which may be a metal, semiconductor, or insulator, and the term “spacing” refers to the distance between adjacent lines. As used in this specification and the appended claims, the term “pitch” is defined as the distance between a same point on two adjacent lines. The pitch is equal to the sum of the linewidth and the spacing.
  • One or more embodiments of the present disclosure are directed to semiconductor structures or devices having one or more gate contact structures (e.g. gate contact vias) disposed over active portions of gate electrodes of the semiconductor structures or devices.
  • Transistors are circuit components or elements that are often formed on semiconductor devices. Many transistors may be formed on a semiconductor device in addition to capacitors, inductors, resistors, diodes, conductive lines, or other elements, depending upon the circuit design. The metal-oxide-semiconductor field-effect transistor (MOSFET) is a type of field-effect transistor (FET). It has an insulated gate, whose voltage determines the conductivity of the device. This ability to change conductivity with the amount of applied voltage is used for amplifying or switching electronic signals.
  • Generally, a transistor includes a gate formed between source and drain regions. The source and drain regions may include a doped region of a substrate and may exhibit a doping profile suitable for a particular application. The gate is positioned over the channel region and may include a gate dielectric interposed between a gate electrode and the channel region in the substrate.
  • As used herein, the term “field effect transistor” or “FET” refers to a transistor that uses an electric field to control the electrical behavior of the device. Field effect transistors generally display very high input impedance at low temperatures. The conductivity between the drain and source terminals is controlled by an electric field in the device, which is generated by a voltage difference between the body and the gate of the device.
  • As used in this specification and the appended claims, the term COAG “contact over active gate” or “COAG” refers to a process feature whereby the gate contact is stacked on top of the gate where there is an active region underneath, thereby improving transistor density. COAG is a feature that provides an additional 10% area scaling.
  • A COAG semiconductor structure or device includes a diffusion or active region disposed in a substrate, and within an isolation region. One or more gate lines (also known as polylines) are disposed over the diffusion or active region as well as over a portion of the isolation region. Source or drain contacts are disposed over source and drain regions of the semiconductor device. Source or drain contact vias provide contact to sources or drains. A separate gate contact, and overlying gate contact via, provides contact to a gate line.
  • Typically, in the fabrication of COAG structures, at least a four-color scheme is necessary, creating challenges for integration, material, and etching. As used herein, the term “four-color” refers to four different materials which are selectively etchable relative to each other. The more colors required, the more complex/difficult etching becomes. Additionally, current COAG schemes have low process margin for existing etch processes.
  • Some embodiments of the disclosure advantageously provide methods to enable self-aligned contact over active gate (COAG) using a three-color processing scheme. The three-color processing scheme of one or more embodiments advantageously reduces one color for multiple color processes, relieving etch/material burden; eliminates a metal recess step, which is significant for cobalt (Co) or copper (Cu); is a self-aligned process; and extends gate pillar height, thus counteracting gate height loss. Additionally, the processing scheme of one or more embodiments increases the margin against shorting defects.
  • The embodiments of the disclosure are described by way of the Figures, which illustrate processes for forming contacts in accordance with one or more embodiments of the disclosure as exemplary process flows using a three-color process. The term “three-color” refers to three different materials which are selectively etchable relative to each other. In other words, one material will be etched, while the other two materials will not be etched. The processes shown are merely illustrative possible uses for the disclosed processes, and the skilled artisan will recognize that the disclosed processes are not limited to the illustrated applications.
  • As used herein, the term “active region” refers to a region which comprises active areas that play an active role in the functioning of a semiconductor device. For example, in a semiconductor substrate having formed thereon a field effect transistor (FET), an active region typically refers to a substrate area having formed thereon various sub-features of the field effect transistor, including, e.g., a source, a drain, and a channel connecting the source and the drain. A gate stack is located over the active region and typically covers a portion thereof. The gate stack may, for example, cover at least a portion of the channel in a field effect transistor. A gate dielectric is typically located between a gate electrode and the active region and prevents a direct electrical contact there-between. A spacer material is typically an electrically insulating material which shields the gate electrode from electrical contact along the lateral sides.
  • As used herein, when a first material is said to be etched selectively with respect to a second material, this means that the first material is etched faster than the second material. For example, the etching process would etch the first material at least two times faster, or at least five times faster, or at least ten times faster, than the second material.
  • In one or more embodiments, the contacts formed are self-aligned. As used herein, the term “self-aligned contact” refers to a kind of self-aligned via. If the via (contact) patterning has a pattern placement error, the bottom of the via or part of the via is still determined by the top edge of the bottom metal.
  • One or more embodiments of the disclosure advantageously provide three-color processes for forming electronic devices and contacts. FIGS. 1-11 illustrate an electronic device 100 formed according to the method of one or more embodiments of the disclosure. The electronic device 100 can be referred to as a metal gate, a transistor, a transistor gate, and the like. The individual components of the electronic device 100, include a substrate 102 having an active region with at least one gate stack 104 formed thereon. The at least one gate stack 104 has a first side 106 and a second side 108 and comprises a source 116, a drain 118, and a gate metal 110. The at least one gate stack 104, the source 116, the drain 118, and the gate metal 110 can be formed by any suitable process or processes known to the skilled artisan. In one or more embodiments, the electronic device 100 of FIG. 1 is produced by a replacement metal gate (RMG) process followed by a planarization (e.g. chemical mechanical planarization) step.
  • Referring to FIG. 1, the electronic device 100 has a substrate 102 with at least one gate stack 104 formed thereon. The at least one gate stack 104 has a first side 106 and a second side 108 on opposite sides of the at least one gate stack 104. The at least one gate stack 104 comprises a gate metal 110 and a work-function material 114.
  • The substrate 102 can be any suitable substrate material. In one or more embodiments, the substrate 102 comprises a semiconductor material, e.g., silicon (Si), carbon (C), germanium (Ge), silicon germanium (SiGe), galloum arsenide (GaAs), indium phosphorus (InP), indium galloum arsenide (InGaAs), indium aluminum arsenide (InAlAs), other semiconductor materials, or any combination thereof. In some embodiments, substrate 102 is a semiconductor-on-isolator (SOI) substrate including a bulk lower substrate, a middle insulation layer, and a top monocrystalline layer. The top monocrystalline layer may comprise any material listed above, e.g., silicon (Si). In various embodiments, the substrate 102 can be, e.g., an organic, a ceramic, a glass, or a semiconductor substrate. Although a few examples of materials from which the substrate may be formed are described herein, any material that may serve as a foundation upon which passive and active electronic devices (e.g., transistors, memories, capacitors, inductors, resistors, switches, integrated circuits, amplifiers, optoelectronic devices, or any other electronic devices) may be built falls within the spirit and scope of the present invention.
  • As will be recognized by one of skill in the art, the at least one gate stack 104 may be comprised of several different materials including, but not limited to, amorphous silicon, one or more metal, and the like.
  • As will be recognized by one of skill in the art, FIG. 1 is a starting point from where the FIN and work-function material 114 have been formed. In one or more embodiments, the at least one gate stack 104 comprises a gate metal 110 on the FIN and work-function material 114. The gate metal 110 may be any suitable metal known to one of skill in the art. In one or more embodiments, the gate metal 110 comprises a metal selected from one or more of cobalt (Co), tungsten (W), titanium (Ti), molybdenum (Mo), nickel (Ni), ruthenium (Ru), silver (Ag), iridium (Ir), or platinum (Pt).
  • As used herein, the term “work-function” refers to the bulk chemical potential of a material (e.g. metal) relative to the vacuum level. In one or more embodiments, the work-function material 114 has a work function greater than or equal to 4.3 eV. In some embodiments, the work-function material 114 has a work function greater than or equal to 4.5 eV. In other embodiments, the work-function material 114 has a work-function greater than or equal to 4.3 eV, including greater than or equal to 4.4 eV, greater than or equal to 4.5 eV, greater than or equal to 4.6, greater than or equal to 4.7 eV, greater than or equal to 4.8 eV, greater than or equal to 4.9 eV, greater than or equal to 5.0 eV, greater than or equal to 5.1 eV, or greater than or equal to 5.2 eV. In one or more embodiments, the work-function material 114 comprises a metal nitride. In one more embodiments, the work-function material 114 comprises one or more of titanium nitride (TiN), tungsten nitride (WN), tantalum nitride (TaN), molybdenum nitride (MoN), TaN/TiN, or WN/TiN.
  • The substrate 102 has a source/drain region 117 adjacent the first side 106 and the second side 108 of the at least one gate stack 104. In one or more embodiments, the source/drain region 117 comprises a source 116 and a drain 118. While it is noted that, in this disclosure, the reference numeral 116 refers to the source and the reference numeral 118 refers to the drain, as recognized by one skilled in the art, the positioning of the source and drain materials can be switched such that 116 is the drain and 118 is the source.
  • The source 116 and drain 118 can be any suitable material known to the skilled artisan. In one or more embodiments, the source and drain materials 116/118 may have more than one layer. For example, the source and drain materials may comprise three layers. In one or more embodiments, the source/drain material 116/118 comprise one or more of copper (Cu), cobalt (Co), tungsten (W), titanium (Ti), molybdenum (Mo), nickel (Ni), ruthenium (Ru), silver (Ag), gold (Au), iridium (Ir), platinum (Pt), phosphorus (P), germanium (Ge), silicon (Si), aluminum (Al), or zirconium (Zr). In some embodiments, the source/drain materials 116/118 comprise a bottom layer of silicon with doped epi (e.g. SiGe, SiP, and the like), a second layer of silicide, which may contain nickel (Ni), titanium (Ti), aluminum (Al), and the like, and a third, or top, layer which may be a metal such as, but not limited to, cobalt, tungsten, ruthenium, and the like.
  • In some embodiments, the source/drain material 116/118 are raised source/drain (S/D) regions formed by EPI growth.
  • In one or more embodiments, a sacrificial material 112 is on the source/drain region 117. The sacrificial material 112 may comprise any suitable material known to one of skill in the art. For example, in one or more embodiments, the sacrificial material 112 comprises a suitable material that can be selectively etched for form a trench that will be filled with a suitable contact material.
  • With reference to FIG. 2, a selective pillar growth technique is used to grow pillars 122 on the gate metal 110. In one or more embodiments, the gate metal 110 comprises a set of conductive lines (not illustrate) that extend along a first direction. Pillars 122 are formed on the gate metal 110. FIG. 2 illustrates pillars 122 being grown on an optional liner 120. In one or more embodiments, the optional liner 120 can be conformal liner 120. In one or more embodiments, the conformal liner 120 can be any suitable metal liner material known to the skilled artisan. In one or more embodiments, the conformal liner 120 comprises a metal nitride film. In some embodiments, the conformal liner 120 comprises one or more of tungsten nitride, tantalum nitride, or titanium nitride. In one or more embodiments, self-aligned selective growth pillars 122 are formed using a seed gapfill layer, optionally on the liner 122, on the recessed conductive lines of the gate metal 110.
  • As used herein, the term “self-aligned growth pillars” refers to columns of a metal that are used to form self-aligned vias. The self-aligned growth pillars have a height 121 of about 5 angstroms (Å) to about 10 microns (μm) that extends above the top surface of the electronic device 100. The width of the self-aligned growth pillars is in a range of about 0.5 nm to about 2000 nm. In one or more embodiments, when the self-aligned growth pillars 122 are removed, self-aligned vias 129 are formed. The self-aligned vias 129 have a minimum width that is equal to the width of the self-aligned growth pillars 122. In some embodiments, the width of the self-aligned vias 129 may be increased by trimming and/or removing the spacer material 124.
  • As shown in FIG. 2, the pillars 122 extend substantially orthogonally from the top surfaces of the gate metal 110. As shown in FIG. 2, the pillars 122 extend along the same direction as the conductive lines of the gate metal 110, and are separated by gaps 123.
  • In one or more embodiments, a seed gapfill layer (not illustrated) is deposited on the gate metal 110. In one embodiment, seed gapfill layer is a self-aligned selective growth seed film. In one or more embodiments, the seed gapfill layer is deposited on gate metal 110 on the top surface of the recessed conductive lines. In one or more embodiments, the seed gapfill layer is a tungsten (W) layer, or other seed gapfill layer to provide selective growth pillars. In some embodiments, the seed gapfill layer is a metal film or a metal containing film. Suitable metal films include, but are not limited to, films including one or more of cobalt (Co), molybdenum (Mo), tungsten (W), tantalum (Ta), titanium (Ti), ruthenium (Ru), rhodium (Rh), copper (Cu), iron (Fe), manganese (Mn), vanadium (V), niobium (Nb), hafnium (Hf), zirconium (Zr), yttrium (Y), aluminum (Al), tin (Sn), chromium (Cr), lanthanum (La), or any combination thereof. In some embodiments, the seed gapfill layer is a tungsten (W) seed gapfill layer.
  • In one or more embodiments, the seed gapfill layer is deposited using one or more deposition techniques, such as but not limited to ALD, CVD, PVD, MBE, MOCVD, spin-on or other deposition techniques known to one of ordinary skill in the art of microelectronic device manufacturing.
  • In one or more embodiments, portions of the seed gapfill layer above the gate metal 110 are expanded for example, by oxidation, nitridation, or other process to grow pillars 122. In one embodiment, the seed gap fill layer is oxidized by exposure to an oxidizing agent or oxidizing conditions to transform the metal or metal containing seed gapfill layer to metal oxide pillars 122. In one or more embodiments, pillars 122 include an oxide of one or more metals listed above. In more specific embodiment, pillars 122 include tungsten oxide (e.g., WO, WO3 and other tungsten oxide).
  • The oxidizing agent can be any suitable oxidizing agent including, but not limited to, O2, O3, N2O, H2O, H2O2, CO, CO2, NH3, N2/Ar, N2/He, N2/Ar/He or any combination thereof. In some embodiments, the oxidizing conditions comprise a thermal oxidation, plasma enhanced oxidation, remote plasma oxidation, microwave and radio-frequency oxidation (e.g., inductively coupled plasma (ICP), capacitively coupled plasma (CCP)).
  • In one or more embodiments, the pillars 122 are formed by oxidation of the seed gapfill layer at any suitable temperature depending on, for example, the composition of the seed gapfill layer and the oxidizing agent. In some embodiments, the oxidation occurs at a temperature in an approximate range of about 25° C. to about 800° C. In some embodiments, the oxidation occurs at a temperature greater than or equal to about 150° C.
  • In one embodiment, the height 121 of the pillars 122 is in an approximate range from about 5 angstroms (Å) to about 10 microns (μm).
  • With reference to FIG. 3, after pillars 122 are form, a spacer material 124 is deposited. In one or more embodiments, the spacer material 124 is deposited using any suitable deposition technique known to one of skill in the art. In one or more embodiments, the formation of the spacer material 124 requires deposition following be selective etching.
  • In one or more embodiments, as illustrated in FIG. 3, a spacer material 124 is formed adjacent the first side 106 and the second side 108 of the pillars 122. The spacer material 124 on either side of the pillars 122 can be the same material or different materials. The spacer material 124 adjacent the first side 106 of the pillar 122 can be referred to as the first spacer material 124 and the spacer material 124 adjacent the second side 108 of the pillar 122 can be referred to as the second spacer material 124. The first spacer material 124 and the second spacer material 124 can be the same material or can be different materials. In one or more embodiments, the first spacer material 124 and the second spacer material 124 are the same material.
  • The spacer material 124 can be made of any suitable material known to the skilled artisan. The spacer material 124 of some embodiments comprises a low-K dielectric material. In some embodiments, spacer material 124 is selected from aluminum oxide (AlO), silicon carbonitride (SiCN), silicon oxycarbide (SiOC), or silicon oxycarbonitride (SiONC).
  • With reference to FIG. 4, sacrificial material 112 is removed to form an opening 126. The sacrificial material 112 may be removed by any suitable technique known to one of skill in the art, including, but not limited to, etching.
  • With reference to FIG. 5, a metal is deposited into opening 126 for form a source/drain contact 128. The metal may be deposited by any suitable deposition technique known to one of skill in the art including, but not limited to, ALD, CVD, PVD, MBE, MOCVD, spin-on or other deposition techniques known to one of ordinary skill in the art of microelectronic device manufacturing. In one or more embodiments, the source/drain contact 128 comprises one or more of nitrogen (N), copper (Cu), cobalt (Co), tungsten (W), titanium (Ti), molybdenum (Mo), nickel (Ni), ruthenium (Ru), silver (Ag), gold (Au), iridium (Ir), tantalum (Ta), or platinum (Pt).
  • In one or more embodiments, a conformal liner 130 may be deposited in opening 126 prior to deposition of a metal to form source/drain contact 128. The conformal liner 130 can be any suitable metal liner material known to the skilled artisan. In one or more embodiments, the conformal liner 130 comprises a metal nitride film. In some embodiments, the conformal liner 130 comprises one or more of tungsten nitride, tantalum nitride, or titanium nitride.
  • “Atomic layer deposition” or “cyclical deposition” as used herein refers to the sequential exposure of two or more reactive compounds to deposit a layer of material on a substrate surface. The substrate, or portion of the substrate, is exposed separately to the two or more reactive compounds which are introduced into a reaction zone of a processing chamber. In a time-domain ALD process, exposure to each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface and then be purged from the processing chamber. These reactive compounds are said to be exposed to the substrate sequentially. In a spatial ALD process, different portions of the substrate surface, or material on the substrate surface, are exposed simultaneously to the two or more reactive compounds so that any given point on the substrate is substantially not exposed to more than one reactive compound simultaneously. As used in this specification and the appended claims, the term “substantially” used in this respect means, as will be understood by those skilled in the art, that there is the possibility that a small portion of the substrate may be exposed to multiple reactive gases simultaneously due to diffusion, and that the simultaneous exposure is unintended.
  • In one aspect of a time-domain ALD process, a first reactive gas (i.e., a first precursor or compound A, e.g. aluminum precursor) is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B (e.g. oxidant) is pulsed into the reaction zone followed by a second delay. During each time delay, a purge gas, such as argon, is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or reaction by-products from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. The reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface. In either scenario, the ALD process of pulsing compound A, purge gas, compound B and purge gas is a cycle. A cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the predetermined thickness.
  • In an embodiment of a spatial ALD process, a first reactive gas and second reactive gas (e.g., nitrogen gas) are delivered simultaneously to the reaction zone but are separated by an inert gas curtain and/or a vacuum curtain. The substrate is moved relative to the gas delivery apparatus so that any given point on the substrate is exposed to the first reactive gas and the second reactive gas.
  • As used herein, “chemical vapor deposition” refers to a process in which a substrate surface is exposed to precursors and/or co-reagents simultaneous or substantially simultaneously. As used herein, “substantially simultaneously” refers to either co-flow or where there is overlap for a majority of exposures of the precursors.
  • Plasma enhanced chemical vapor deposition (PECVD) is widely used to deposit thin films due to cost efficiency and film property versatility. In a PECVD process, for example, a hydrocarbon source, such as a gas-phase hydrocarbon or a vapor of a liquid-phase hydrocarbon that have been entrained in a carrier gas, is introduced into a PECVD chamber. A plasma-initiated gas, typically helium, is also introduced into the chamber. Plasma is then initiated in the chamber to create excited CH-radicals. The excited CH-radicals are chemically bound to the surface of a substrate positioned in the chamber, forming the desired film thereon. Embodiments described herein in reference to a PECVD process can be carried out using any suitable thin film deposition system. Any apparatus description described herein is illustrative and should not be construed or interpreted as limiting the scope of the embodiments described herein.
  • With reference to FIG. 6, in one or more embodiments, the source/drain contact 128 and the optional conformal liner 130 are recessed to form gaps 127 between the pillars 122 and spacer material 124. In one or more embodiments, the recessing of the source/drain contact can be conducted by any technique known to one of skill in the art including, but not limited to, selective etching.
  • Referring to FIG. 7, in one or more embodiments, a dielectric material 132 is deposited in gaps 127 and on the top surface of the pillars 122, the source material 124, and the source/drain contact 128, and optional conformal liner 130. The dielectric material 124 may be any suitable material known to one of skill in the art. In one or more embodiments, the dielectric material 132 comprise one or more of silicon carbide (SiC), silicon nitride (SiN), tungsten carbide (WC), or tungsten oxide (WO). As illustrated in FIG. 7, in one or more embodiments, an overburden 133 of the dielectric material 132 is formed. With reference to FIG. 8, the overburden 133 is removed by any suitable technique known to one of skill in the art including, but not limited to, chemical mechanical planarization (CMP). In one or more embodiments, when the overburden 133 of the dielectric material 132 is removed, the dielectric material 132 is substantially coplanar with the top surface of the pillars 122 and the spacer material 124.
  • Referring to FIG. 9, in one or more embodiments, the self-aligned selectively grown pillars 122 are selectively removed to form trenches 129. As shown in FIG. 9, the pillars 122 are removed selectively to the gate metal 110 and the optional conformal liner 120. In another embodiment, when liner 120 is a non-conductive liner, liner 120 is removed. In one embodiment, the pillars 122 and liner 120 are removed selectively to the gate metal 110. As shown in FIG. 9, trenches 129 are formed in the dielectric material 132. As illustrated in FIG. 9, each trench 129 has a bottom that is a top surface of the gate metal 110 and the optional liner 130 and opposing sidewalls that include a sidewall portion of spacer material 124. In another embodiment, when liner 120 is removed, each trench 129 has a bottom that is a top surface of gate metal 110 and opposing sidewalls that include portions of spacer material 124. Generally, the aspect ratio of the trench 129 refers to the ratio of the depth of the trench to the width of the trench. In one embodiment, the aspect ratio of each trench 129 is in an approximate range from about 1:1 to about 200:1.
  • In one embodiment, the pillars 122 are selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, the pillars 122 are selectively wet etched by e.g., 5 wt % of ammonium hydroxide (NH4OH) aqueous solution at the temperature of about 80 degrees C. In one embodiment, hydrogen peroxide (H2O2) is added to the 5 wt % NH4OH aqueous solution to increase the etching rate of the pillars 122. In one embodiment, the pillars 122 are selectively wet etched using hydrofluoric acid (HF) and nitric acid (HNO3) in a ratio of 1:1. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO3 in a ratio of 3:7 respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO3 in a ratio of 4:1, respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO3 in a ratio of 30%:70%, respectively. In one embodiment, the pillars 122 including tungsten, titanium or both titanium and tungsten are selectively wet etched using NH4OH and H2O2 in a ratio of 1:2, respectively. In one embodiment, the pillars 122 are selectively wet etched using 305 grams of potassium ferricyanide (K3Fe(CN)6), 44.5 grams of sodium hydroxide (NaOH) and 1000 ml of water (H2O). In one embodiment, the pillars 122 are selectively wet etched using diluted or concentrated one or more of the chemistries including hydrochloric acid (HCl), HNO3, surfuric acid (H2SO4), HF, and H2O2. In one embodiment, the pillars 122 are selectively wet etched using HF, HNO3 and acetic acid (HAc) in a ratio of 4:4:3, respectively. In one embodiment, the pillars 122 are selectively dry etched using a bromotrifluoromethane (CBrF3) reactive ion etching (RIE) technique. In one embodiment, the pillars 122 are selectively dry etched using chlorine, fluorine, bromine or any combination thereof based chemistries. In one embodiment, the pillars 122 are selectively wet etched using hot or warm Aqua Regia mixture including HCl and HNO3 in a ratio of 3:1, respectively. In one embodiment, the pillars 122 are selectively etched using alkali with oxidizers (potassium nitrate (KNOB) and lead dioxide (PbO2)). In one embodiment, the liner 120 is selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • Referring to FIG. 10, in one or more embodiments, after the pillars 122 are selectively removed, the spacer material 124 is trimmed or etched such that a sidewall of the trench 129 comprises spacer material 124 that is substantially coplanar.
  • As illustrated in FIG. 11, the trench 129 is then filled with a second dielectric material 134. The dielectric material 134 may be any suitable material known to one of skill in the art. In one or more embodiments, the dielectric material 133 comprises one or more of silicon carbide (SiC), silicon nitride (SiN), tungsten carbide (WC), or tungsten oxide (WO). In a specific embodiment, the dielectric material 134 comprises SiN. In one or more embodiments, an overburden (not illustrated) of the dielectric material 134 is formed. In one or more embodiments, the overburden (not illustrated) may be removed by any suitable technique known to one of skill in the art including, but not limited to, chemical mechanical planarization (CMP). In one or more embodiments, when the overburden (not illustrated) of the dielectric material 134 is removed, the dielectric material 134 is substantially coplanar with the top surface of the dielectric material 132 and the spacer material 124.
  • One or more embodiments are directed to an electronic device. With reference to FIG. 11, in one or more embodiments, an electronic device 100 comprises a substrate 102 having an active region with at least one gate stack 104 formed thereon, the at least one gate stack 104 having a first side 106 and a second side 108 and comprising a source/drain 116/118 and a gate metal 110. A source/drain contact 128 is on the source/drain 116/118. A first dielectric material 132 is on the contact 128. A spacer material 124 is adjacent the first side 106 and the second side 108 of the at least one gate stack 104, and a second dielectric material 134 is on the at least one gate stack 104. The gate metal 110 has a thickness in a range of about 0.5 nm to about 2000 nm and the second dielectric 134 has a thickness in a range of about 0.5 nm to about 2000 nm. In one or more embodiments, the gate metal 110 has a thickness in a range of about 0.5 nm to about 2000 nm, including about 0.5 nm to about 1500 nm, about 0.5 nm to about 1000 nm, about 0.5 nm to about 500 nm, and about 0.5 nm to about 250 nm. In one or more embodiments, the second dielectric 134 has a thickness in a range of about 0.5 nm to about 2000 nm, including about 0.5 nm to about 1500 nm, about 0.5 nm to about 1000 nm, about 0.5 nm to about 500 nm, and about 0.5 nm to about 250 nm. Without intending to be bound by theory, it Is thought that the thickness (or height) of the dielectric material 134 advantageously increases the margin against shorting defects. Additionally, it is thought that dielectric material 134 advantageously provides extra etch selectivity such that the margin against shorting for is increased further.
  • FIGS. 12-15 refer to alternative embodiments of the invention. In FIG. 12, the electronic device of FIG. 5 is further processed. In FIG. 12, the self-aligned selectively grown pillars 122 are selectively removed to form trenches 129. As shown in FIG. 12, the pillars 122 are removed selectively to the gate metal 110 and the optional conformal liner 120. In another embodiment, when liner 120 is a non-conductive liner, liner 120 is removed. In one embodiment, the pillars 122 and liner 120 are removed selectively to the gate metal 110. As shown in FIG. 12, trenches 129 are formed in the dielectric material 132. As illustrated in FIG. 12, each trench 129 has a bottom that is a top surface of the gate metal 110 and the optional liner 130 and opposing sidewalls that include a sidewall portion of spacer material 124. In another embodiment, when liner 120 is removed, each trench 129 has a bottom that is a top surface of gate metal 110 and opposing sidewalls that include portions of spacer material 124. Generally, the aspect ratio of the trench 129 refers to the ratio of the depth of the trench to the width of the trench. In one embodiment, the aspect ratio of each trench 129 is in an approximate range from about 1:1 to about 200:1.
  • In one embodiment, the pillars 122 are selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, the pillars 122 are selectively wet etched by e.g., 5 wt % of ammonium hydroxide (NH4OH) aqueous solution at the temperature of about 80 degrees C. In one embodiment, hydrogen peroxide (H2O2) is added to the 5 wt % NH4OH aqueous solution to increase the etching rate of the pillars 122. In one embodiment, the pillars 122 are selectively wet etched using hydrofluoric acid (HF) and nitric acid (HNO3) in a ratio of 1:1. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO3 in a ratio of 3:7 respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO3 in a ratio of 4:1, respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO3 in a ratio of 30%:70%, respectively. In one embodiment, the pillars 122 including tungsten, titanium or both titanium and tungsten are selectively wet etched using NH4OH and H2O2 in a ratio of 1:2, respectively. In one embodiment, the pillars 122 are selectively wet etched using 305 grams of potassium ferricyanide (K3Fe(CN)6), 44.5 grams of sodium hydroxide (NaOH) and 1000 ml of water (H2O). In one embodiment, the pillars 122 are selectively wet etched using diluted or concentrated one or more of the chemistries including hydrochloric acid (HCl), HNO3, surfuric acid (H2SO4), HF, and H2O2. In one embodiment, the pillars 122 are selectively wet etched using HF, HNO3 and acetic acid (HAc) in a ratio of 4:4:3, respectively. In one embodiment, the pillars 122 are selectively dry etched using a bromotrifluoromethane (CBrF3) reactive ion etching (RIE) technique. In one embodiment, the pillars 122 are selectively dry etched using chlorine, fluorine, bromine or any combination thereof based chemistries. In one embodiment, the pillars 122 are selectively wet etched using hot or warm Aqua Regia mixture including HCl and HNO3 in a ratio of 3:1, respectively. In one embodiment, the pillars 122 are selectively etched using alkali with oxidizers (potassium nitrate (KNOB) and lead dioxide (PbO2)). In one embodiment, the liner 120 is selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • Referring to FIG. 13, in one or more embodiments, after the pillars 122 are selectively removed, the spacer material 124 is trimmed or etched such that a sidewall of the trench 129 comprises spacer material 124 that is substantially coplanar.
  • As illustrated in FIG. 14, in one or more embodiments, the trench 129 is then filled with a dielectric material 134. The dielectric material 134 may be any suitable material known to one of skill in the art. In one or more embodiments, the dielectric material 133 comprises one or more of silicon carbide (SiC), silicon nitride (SiN), tungsten carbide (WC), or tungsten oxide (WO). In a specific embodiment, the dielectric material 134 comprises SiN. In one or more embodiments, the dielectric material 134 has a thickness in a range of about 0.5 nm to about 2000 nm. In one or more embodiments, an overburden (not illustrated) of the dielectric material 134 is formed. In one or more embodiments, the overburden (not illustrated) may be removed by any suitable technique known to one of skill in the art including, but not limited to, chemical mechanical planarization (CMP). In one or more embodiments, when the overburden (not illustrated) of the dielectric material 134 is removed, the dielectric material 134 is substantially coplanar with the top surface of the source/drain contact 128, the optional conformal liner 130, and the spacer material 124.
  • Referring to FIG. 15, the electronic device of FIG. 14 is further processed by formation of a next layer. A contact 136 is deposited on the top surface of source/drain contact 128. The contact 136 may be deposited by any deposition technique known to one of skill in the art. Without intending to be bound by theory, the thickness of the dielectric material 134 advantageously increases the margin against shorting for contact 136. Additionally, it is thought that dielectric material 134 advantageously provides extra etch selectivity such that the margin against shorting for contact 136 is increased further.
  • One or more embodiments are directed to an electronic device. With reference to FIG. 15, in one or more embodiments, an electronic device 100 comprises a substrate 102 having an active region with at least one gate stack 104 formed thereon, the at least one gate stack 104 having a first side 106 and a second side 108 and comprising a source/drain 116/118 and a gate metal 110. A source/drain contact 128 is on the source/drain 116/118. A spacer material 124 is adjacent the first side 106 and the second side 108 of the at least one gate stack 104, and a dielectric material 134 is on the at least one gate stack 104. The gate metal 110 has a thickness in a range of about 0.5 nm to about 2000 nm and the dielectric 134 has a thickness in a range of about 0.5 nm to about 2000 nm. A contact 136 is on the source/drain contact 128. Without intending to be bound by theory, the thickness of the dielectric material 134 advantageously increases the margin against shorting for contact 136. Additionally, it is thought that dielectric material 134 advantageously provides extra etch selectivity such that the margin against shorting for contact 136 is increased further.
  • FIGS. 16-19 refer to alternative embodiments of the invention. In FIG. 16, the electronic device of FIG. 5 is further processed. In FIG. 16, the self-aligned selectively grown pillars 122 are selectively removed to form trenches 129. As shown in FIG. 16, the pillars 122 are removed selectively to the gate metal 110 and the optional conformal liner 120. In another embodiment, when liner 120 is a non-conductive liner, liner 120 is removed. In one embodiment, the pillars 122 and liner 120 are removed selectively to the gate metal 110. As shown in FIG. 16, trenches 129 are formed in the dielectric material 132. As illustrated in FIG. 16, each trench 129 has a bottom that is a top surface of the gate metal 110 and the optional liner 130 and opposing sidewalls that include a sidewall portion of spacer material 124. In another embodiment, when liner 120 is removed, each trench 129 has a bottom that is a top surface of gate metal 110 and opposing sidewalls that include portions of spacer material 124. Generally, the aspect ratio of the trench 129 refers to the ratio of the depth of the trench to the width of the trench. In one embodiment, the aspect ratio of each trench 129 is in an approximate range from about 1:1 to about 200:1.
  • In one embodiment, the pillars 122 are selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, the pillars 122 are selectively wet etched by e.g., 5 wt % of ammonium hydroxide (NH4OH) aqueous solution at the temperature of about 80 degrees C. In one embodiment, hydrogen peroxide (H2O2) is added to the 5 wt % NH4OH aqueous solution to increase the etching rate of the pillars 122. In one embodiment, the pillars 122 are selectively wet etched using hydrofluoric acid (HF) and nitric acid (HNO3) in a ratio of 1:1. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO3 in a ratio of 3:7 respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO3 in a ratio of 4:1, respectively. In one embodiment, the pillars 122 are selectively wet etched using HF and HNO3 in a ratio of 30%:70%, respectively. In one embodiment, the pillars 122 including tungsten, titanium or both titanium and tungsten are selectively wet etched using NH4OH and H2O2 in a ratio of 1:2, respectively. In one embodiment, the pillars 122 are selectively wet etched using 305 grams of potassium ferricyanide (K3Fe(CN)6), 44.5 grams of sodium hydroxide (NaOH) and 1000 ml of water (H2O). In one embodiment, the pillars 122 are selectively wet etched using diluted or concentrated one or more of the chemistries including hydrochloric acid (HCl), HNO3, surfuric acid (H2SO4), HF, and H2O2. In one embodiment, the pillars 122 are selectively wet etched using HF, HNO3 and acetic acid (HAc) in a ratio of 4:4:3, respectively. In one embodiment, the pillars 122 are selectively dry etched using a bromotrifluoromethane (CBrF3) reactive ion etching (RIE) technique. In one embodiment, the pillars 122 are selectively dry etched using chlorine, fluorine, bromine or any combination thereof based chemistries. In one embodiment, the pillars 122 are selectively wet etched using hot or warm Aqua Regia mixture including HCl and HNO3 in a ratio of 3:1, respectively. In one embodiment, the pillars 122 are selectively etched using alkali with oxidizers (potassium nitrate (KNOB) and lead dioxide (PbO2)). In one embodiment, the liner 120 is selectively removed using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • Referring to FIG. 17, in one or more embodiments, after the pillars 122 are selectively removed, the spacer material 124 is removed such that a sidewall of the trench 129 comprises source/drain contact 128 or optional conformal liner 130. The spacer material 124 may be removed by any technique to known one of skill in the art that is selective to the source/drain contact 128 and the optional conformal liner 130.
  • As illustrated in FIG. 18, in one or more embodiments, the trench 129 is then filled with a dielectric material 134. The dielectric material 134 may be any suitable material known to one of skill in the art. In one or more embodiments, the dielectric material 134 comprises one or more of silicon carbide (SiC), silicon nitride (SiN), tungsten carbide (WC), or tungsten oxide (WO). In a specific embodiment, the dielectric material 134 comprises SiN. In one or more embodiments, an overburden (not illustrated) of the dielectric material 134 is formed. In one or more embodiments, the overburden (not illustrated) may be removed by any suitable technique known to one of skill in the art including, but not limited to, chemical mechanical planarization (CMP). In one or more embodiments, when the overburden (not illustrated) of the dielectric material 134 is removed, the dielectric material 134 is substantially coplanar with the top surface of the source/drain contact 128, the optional conformal liner 130, and the spacer material 124. In one or more embodiments, the dielectric material 134 has a thickness in a range of about 0.5 nm to about 2000 nm.
  • Referring to FIG. 19, the electronic device of FIG. 18 is further processed by formation of a next layer. A contact 136 is deposited on the top surface of source/drain contact 128. The contact 136 may be deposited by any deposition technique known to one of skill in the art. Without intending to be bound by theory, the thickness of the dielectric material 134 advantageously increases the margin against shorting for contact 136. Additionally, it is thought that dielectric material 134 advantageously provides extra etch selectivity such that the margin against shorting for contact 136 is increased further.
  • One or more embodiments are directed to an electronic device. With reference to FIG. 19, in one or more embodiments, an electronic device 100 comprises a substrate 102 having an active region with at least one gate stack 104 formed thereon, the at least one gate stack 104 having a first side 106 and a second side 108 and comprising a source/drain 116/118 and a gate metal 110. A source/drain contact 128 is on the source/drain 116/118. A dielectric material 134 is on the at least one gate stack 104. The gate metal 110 has a thickness in a range of about 0.5 nm to about 2000 nm and the dielectric 134 has a thickness in a range of about 0.5 nm to about 2000 nm. A contact 136 is on the source/drain contact 128. Without intending to be bound by theory, the thickness of the dielectric material 134 advantageously increases the margin against shorting for contact 136. Additionally, it is thought that dielectric material 134 advantageously provides extra etch selectivity such that the margin against shorting for contact 136 is increased further.
  • FIG. 20 depicts a flow diagram of a method 700 of manufacturing an electronic device. With respect to FIG. 20, the method 700 begins at operation 710, wherein a substrate having an active region with at least one gate stack formed thereon, the at least one gate stack having a first side and a second side and comprising a gate metal having a thickness in a range of about 0.5 nm to about 2000 nm, a source/drain on the substrate, and a sacrificial material on the source/drain is provided. In some embodiments, a conformal gate liner surrounds the gate metal. At operation 720, pillars are formed on the gate metal. In one or more embodiments, the method further comprises selectively growing a seed layer on the gate metal, such that the pillars are formed on the seed layer. At operation 730, a spacer material is formed on the first side and second side of the pillars. At operation 740, the sacrificial material is removed to form an opening to the source/drain. At operation 750, a metal is deposited in the opening to form a source/drain contact. At operation 760, the pillars are removed to form trenches, and, at operation 770, a dielectric material is deposited through the trenches onto the gate metal. In one or more embodiments, prior to depositing the dielectric material through the trenches, the spacer material is removed. At operation 780, the method further comprises depositing a contact on the source/drain contact.
  • In one or more embodiments, the method further comprises recessing the source/drain contact to form gaps between the pillars, followed by depositing a second dielectric material into the gaps. In other embodiments, the method further comprises, prior to depositing a metal, depositing a liner material (e.g. a conformal liner) into the trenches to form a conformal liner around the source/drain contact.
  • In still further embodiments, the method further comprises, prior to depositing the dielectric material, removing the conformal gate liner and etching the spacer material.
  • Additional embodiments of the disclosure are directed to processing tools 900 for the formation of the memory devices and methods described, as shown in FIG. 21.
  • With reference to FIG. 21, the cluster tool 900 includes at least one central transfer station 921, 931 with a plurality of sides. A robot 925, 935 is positioned within the central transfer station 921, 931 and is configured to move a robot blade and a wafer to each of the plurality of sides.
  • The cluster tool 900 comprises a plurality of processing chambers 902, 904, 906, 908, 910, 912, 914, 916, and 918, also referred to as process stations, connected to the central transfer station. The various processing chambers provide separate processing regions isolated from adjacent process stations. The processing chamber can be any suitable chamber including, but not limited to, a preclean chamber, a buffer chamber, transfer space(s), a wafer orienter/degas chamber, a cryo cooling chamber, a deposition chamber, annealing chamber, etching chamber and a blocking oxide material deposition chamber. The particular arrangement of process chambers and components can be varied depending on the cluster tool and should not be taken as limiting the scope of the disclosure.
  • In one or more embodiments, the cluster tool 900 includes a blocking oxide material deposition chamber. The a blocking oxide material deposition chamber of some embodiments comprises one or more of an atomic layer deposition chamber, a plasma enhanced atomic layer deposition chamber, a chemical vapor deposition chamber, a plasma enhanced chemical vapor deposition chamber, a spatial atomic layer deposition chamber, or a physical deposition chamber. In one or more embodiments, the cluster tool 900 includes a pre-cleaning chamber connected to the central transfer station.
  • In the embodiment shown in FIG. 21, a factory interface 950 is connected to a front of the cluster tool 900. The factory interface 950 includes a loading chamber 954 and an unloading chamber 956 on a front 951 of the factory interface 950. While the loading chamber 954 is shown on the left and the unloading chamber 956 is shown on the right, those skilled in the art will understand that this is merely representative of one possible configuration.
  • The size and shape of the loading chamber 954 and unloading chamber 956 can vary depending on, for example, the substrates being processed in the cluster tool 900. In the embodiment shown, the loading chamber 954 and unloading chamber 956 are sized to hold a wafer cassette with a plurality of wafers positioned within the cassette.
  • A robot 952 is within the factory interface 950 and can move between the loading chamber 954 and the unloading chamber 956. The robot 952 is capable of transferring a wafer from a cassette in the loading chamber 954 through the factory interface 950 to load lock chamber 960. The robot 952 is also capable of transferring a wafer from the load lock chamber 962 through the factory interface 950 to a cassette in the unloading chamber 956. As will be understood by those skilled in the art, the factory interface 950 can have more than one robot 952. For example, the factory interface 950 may have a first robot that transfers wafers between the loading chamber 954 and load lock chamber 960, and a second robot that transfers wafers between the load lock 962 and the unloading chamber 956.
  • The cluster tool 900 shown has a first section 920 and a second section 930. The first section 920 is connected to the factory interface 950 through load lock chambers 960, 962. The first section 920 includes a first transfer chamber 921 with at least one robot 925 positioned therein. The robot 925 is also referred to as a robotic wafer transport mechanism. The first transfer chamber 921 is centrally located with respect to the load lock chambers 960, 962, process chambers 902, 904, 916, 918, and buffer chambers 922, 924. The robot 925 of some embodiments is a multi-arm robot capable of independently moving more than one wafer at a time. In one or more embodiments, the first transfer chamber 921 comprises more than one robotic wafer transfer mechanism. The robot 925 in first transfer chamber 921 is configured to move wafers between the chambers around the first transfer chamber 921. Individual wafers are carried upon a wafer transport blade that is located at a distal end of the first robotic mechanism.
  • After processing a wafer in the first section 920, the wafer can be passed to the second section 930 through a pass-through chamber. For example, chambers 922, 924 can be uni-directional or bi-directional pass-through chambers. The pass-through chambers 922, 924 can be used, for example, to cryo cool the wafer before processing in the second section 930, or allow wafer cooling or post-processing before moving back to the first section 920.
  • A system controller 990 is in communication with the first robot 925, second robot 935, first plurality of processing chambers 902, 904, 916, 918 and second plurality of processing chambers 906, 908, 910, 912, 914. The system controller 990 can be any suitable component that can control the processing chambers and robots. For example, the system controller 990 can be a computer including a central processing unit (CPU) 992, memory 994, inputs/outputs (I/O) 996, and support circuits 998. The controller 990 may control the processing tool 900 directly, or via computers (or controllers) associated with particular process chamber and/or support system components.
  • In one or more embodiments, the controller 990 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory 994 or computer readable medium of the controller 990 may be one or more of readily available memory such as non-transitory memory (e.g. random access memory (RAM)), read only memory (ROM), floppy disk, hard disk, optical storage media (e.g., compact disc or digital video disc), flash drive, or any other form of digital storage, local or remote. The memory 994 can retain an instruction set that is operable by the processor (CPU 992) to control parameters and components of the processing tool 900.
  • The support circuits 998 are coupled to the CPU 992 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. One or more processes may be stored in the memory 994 as software routine that, when executed or invoked by the processor, causes the processor to control the operation of the processing tool 900 or individual processing units in the manner described herein. The software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 992.
  • Some or all of the processes and methods of the present disclosure may also be performed in hardware. As such, the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware. The software routine, when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • In some embodiments, the controller 990 has one or more configurations to execute individual processes or sub-processes to perform the method. The controller 990 can be connected to and configured to operate intermediate components to perform the functions of the methods. For example, the controller 990 can be connected to and configured to control a physical vapor deposition chamber.
  • Processes may generally be stored in the memory of the system controller 990 as a software routine that, when executed by the processor, causes the process chamber to perform processes of the present disclosure. The software routine may also be stored and/or executed by a second processor (not shown) that is remotely located from the hardware being controlled by the processor. Some or all of the method of the present disclosure may also be performed in hardware. As such, the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware. The software routine, when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • The use of the terms “a” and “an” and “the” and similar referents in the context of describing the materials and methods discussed herein (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. Recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value falling within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein. All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by context. The use of any and all examples, or exemplary language (e.g., “such as”) provided herein, is intended merely to better illuminate the materials and methods and does not pose a limitation on the scope unless otherwise claimed. No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the disclosed materials and methods.
  • Reference throughout this specification to “one embodiment,” “certain embodiments,” “one or more embodiments” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the disclosure. Thus, the appearances of the phrases such as “in one or more embodiments,” “in certain embodiments,” “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the disclosure. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.
  • Although the disclosure herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present disclosure without departing from the spirit and scope of the disclosure. Thus, it is intended that the present disclosure include modifications and variations that are within the scope of the appended claims and their equivalents.

Claims (12)

What is claimed is:
1. An electronic device comprising:
a substrate having an active region with at least one gate stack formed thereon, the at least one gate stack having a first side and a second side and comprising a source/drain and a gate metal;
a source/drain contact on the source/drain;
a first dielectric on the contact;
a spacer material adjacent the first side and the second side of the at least one gate stack; and
a second dielectric on the at least one gate stack, wherein the gate metal has a thickness in a range of about 0.5 nm to about 2000 nm and the second dielectric has a thickness in a range of about 0.5 nm to about 2000 nm.
2. The electronic device of claim 1, wherein the gate metal comprises a metal selected from one or more of cobalt, tungsten, titanium, molybdenum, nickel, ruthenium, silver, iridium, or platinum, wherein the spacer material comprises one or more of aluminum oxide, silicon oxycarbide, silicon oxynitride, silicon carbonitride, or silicon oxycarbonitride, wherein the source/drain comprises one or more of copper (Cu), cobalt (Co), tungsten (W), titanium (Ti), tantalum (Ta), molybdenum (Mo), nickel (Ni), ruthenium (Ru), silver (Ag), gold (Au), iridium (Ir), or platinum (Pt), phosphorus (P), germanium (Ge), silicon (Si), aluminum (Al), or zirconium (Zr).
3. The electronic device of claim 1, wherein the first dielectric and the second dielectric independently comprise one or more of silicon carbide, silicon nitride, tungsten carbide, or tungsten oxide.
4. The electronic device of claim 1, further comprising a contact on the source/drain contact and the spacer material.
5. The electronic device of claim 1, further comprising a first liner surrounding the gate metal.
6. The electronic device of any one of claim 5, further comprising a second liner surrounding the contact.
7. The electronic device of claim 4, wherein the source/drain contact and the contact independently comprise one or more of nitrogen (N), copper (Cu), cobalt (Co), tungsten (W), titanium (Ti), molybdenum (Mo), nickel (Ni), ruthenium (Ru), silver (Ag), gold (Au), iridium (Ir), tantalum (Ta), or platinum (Pt).
8. An electronic device comprising:
a substrate having an active region with at least one gate stack formed thereon, the at least one gate stack having a first side and a second side and comprising a source/drain and a gate metal;
a source/drain contact on the source/drain; and
a dielectric on the at least one gate stack, wherein the gate metal has a thickness in a range of about 0.5 nm to about 2000 nm and the dielectric has a thickness in a range of about 0.5 nm to about 2000 nm.
9. The electronic device of claim 8, further comprising a spacer material adjacent the first side and the second side of the at least one gate stack, wherein the spacer material comprises one or more of aluminum oxide, silicon oxycarbide, silicon oxynitride, silicon carbonitride, or silicon oxycarbonitride.
10. The electronic device of claim 8, wherein the gate metal comprises a metal selected from one or more of cobalt, tungsten, titanium, molybdenum, nickel, ruthenium, silver, iridium, or platinum, wherein the source/drain comprises one or more of copper (Cu), cobalt (Co), tungsten (W), titanium (Ti), tantalum (Ta), molybdenum (Mo), nickel (Ni), ruthenium (Ru), silver (Ag), gold (Au), iridium (Ir), or platinum (Pt), phosphorus (P), germanium (Ge), silicon (Si), aluminum (Al), or zirconium (Zr), wherein the dielectric comprises one or more of silicon carbide, silicon nitride, tungsten carbide, or tungsten oxide.
11. The electronic device of claim 8, further comprising a contact on the source/drain contact, wherein the source/drain contact and the contact independently comprise one or more of nitrogen (N), copper (Cu), cobalt (Co), tungsten (W), titanium (Ti), molybdenum (Mo), nickel (Ni), ruthenium (Ru), silver (Ag), gold (Au), iridium (Ir), tantalum (Ta), or platinum (Pt).
12. The electronic device of claim 8, further comprising a first liner surrounding the gate metal and a second liner surrounding the source/drain contact.
US17/873,547 2019-03-01 2022-07-26 Self-aligned contact and contact over active gate structures Pending US20220367270A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/873,547 US20220367270A1 (en) 2019-03-01 2022-07-26 Self-aligned contact and contact over active gate structures

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962812575P 2019-03-01 2019-03-01
US16/798,817 US11437273B2 (en) 2019-03-01 2020-02-24 Self-aligned contact and contact over active gate structures
US17/873,547 US20220367270A1 (en) 2019-03-01 2022-07-26 Self-aligned contact and contact over active gate structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/798,817 Division US11437273B2 (en) 2019-03-01 2020-02-24 Self-aligned contact and contact over active gate structures

Publications (1)

Publication Number Publication Date
US20220367270A1 true US20220367270A1 (en) 2022-11-17

Family

ID=72235974

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/798,817 Active US11437273B2 (en) 2019-03-01 2020-02-24 Self-aligned contact and contact over active gate structures
US17/873,547 Pending US20220367270A1 (en) 2019-03-01 2022-07-26 Self-aligned contact and contact over active gate structures

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/798,817 Active US11437273B2 (en) 2019-03-01 2020-02-24 Self-aligned contact and contact over active gate structures

Country Status (1)

Country Link
US (2) US11437273B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11908911B2 (en) * 2019-05-16 2024-02-20 Intel Corporation Thin film transistors with raised source and drain contacts and process for forming such

Citations (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090140262A1 (en) * 2006-09-20 2009-06-04 Fujitsu Limited Field-effect transistor
US20090148992A1 (en) * 2007-12-06 2009-06-11 Elpida Memory, Inc. Semiconductor device and method of manufacturing the same
US20090261424A1 (en) * 2008-04-22 2009-10-22 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for fabricating a dual workfunction semiconductor device and the device made thereof
US20100216289A1 (en) * 2009-02-20 2010-08-26 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having metal-semiconductor compound regions
US20100219481A1 (en) * 2009-01-09 2010-09-02 Imec Method for manufacturing a dual work function semiconductor device and the semiconductor device made thereof
US20110140195A1 (en) * 2009-12-10 2011-06-16 Micron Technology, Inc. Cross-point diode arrays and methods of manufacturing cross-point diode arrays
US20130234242A1 (en) * 2012-03-12 2013-09-12 Eui-Seong Hwang Semiconductor device with buried bit line and method for fabricating the same
US20130320433A1 (en) * 2012-05-31 2013-12-05 Heung-Jae Cho Vertical channel transistor with self-aligned gate electrode and method for fabricating the same
US20140077305A1 (en) * 2012-09-19 2014-03-20 Abhijit Jayant Pethe Gate contact structure over active gate and method to fabricate same
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US20150206923A1 (en) * 2014-01-23 2015-07-23 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device and method for manufacturing semiconductor device
US20160240774A1 (en) * 2014-02-28 2016-08-18 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device and method for producing the same
US9530733B2 (en) * 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US20170263551A1 (en) * 2014-12-24 2017-09-14 Intel Corporation Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin
US20170278752A1 (en) * 2016-03-15 2017-09-28 Imec Vzw Self-aligned gate contact
US20170330794A1 (en) * 2014-12-23 2017-11-16 Intel Corporation Via blocking layer
US20170330761A1 (en) * 2014-12-22 2017-11-16 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme
US9842927B1 (en) * 2016-08-26 2017-12-12 Globalfoundries Inc. Integrated circuit structure without gate contact and method of forming same
US10014303B2 (en) * 2016-08-26 2018-07-03 Globalfoundries Inc. Devices with contact-to-gate shorting through conductive paths between fins and fabrication methods
US20180337188A1 (en) * 2017-05-18 2018-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Implantations for Forming Source/Drain Regions of Different Transistors
US20180374750A1 (en) * 2017-06-24 2018-12-27 Micromaterials Llc Methods Of Producing Fully Self-Aligned Vias And Contacts
US20190103477A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. FinFet Device and Method of Forming the Same
US20190273019A1 (en) * 2018-03-02 2019-09-05 Micromaterials Llc Methods for Removing Metal Oxides
US10410927B1 (en) * 2018-07-23 2019-09-10 International Business Machines Corporation Method and structure for forming transistors with high aspect ratio gate without patterning collapse
US20190334008A1 (en) * 2018-04-30 2019-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US20190355621A1 (en) * 2018-05-16 2019-11-21 Micromaterials Llc Method For Increasing The Verticality Of Pillars
US20190378756A1 (en) * 2018-06-08 2019-12-12 Micromaterials Llc Method For Creating A Fully Self-Aligned Via
US20200083106A1 (en) * 2016-06-09 2020-03-12 International Business Machines Corporation Fabrication of a vertical transistor with self-aligned bottom source/drain
US20200126844A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
US20200135887A1 (en) * 2018-10-30 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric constant reduction of gate spacer
US20200168825A1 (en) * 2018-11-28 2020-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around semiconductor structure with diffusion break
US20200168606A1 (en) * 2018-11-27 2020-05-28 Imec Vzw Stacked semiconductor device and method of fabricating same
US20200258744A1 (en) * 2019-02-11 2020-08-13 Applied Materials, Inc. Gate contact over active processes
US20200312849A1 (en) * 2019-03-25 2020-10-01 International Business Machines Corporation Gate recess uniformity in vertical field effect transistor
US20200365701A1 (en) * 2019-05-16 2020-11-19 Intel Corporation Thin film transistors with raised source and drain contacts and process for forming such
US20210013106A1 (en) * 2019-07-08 2021-01-14 International Business Machines Corporation Vertical field effect transistor replacement metal gate fabrication
US20210066120A1 (en) * 2019-09-03 2021-03-04 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of manufacture
US20210098597A1 (en) * 2019-09-27 2021-04-01 International Business Machines Corporation Replacement bottom spacer for vertical transport field effect transistors
US20210351079A1 (en) * 2020-05-08 2021-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming long channel back-side power rail device
US20220005937A1 (en) * 2020-07-06 2022-01-06 Applied Materials, Inc. Selective silicon etch for gate all around transistors
US20220028999A1 (en) * 2020-07-21 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Air Spacers For Semiconductor Devices
US20220130722A1 (en) * 2020-10-28 2022-04-28 Applied Materials, Inc. Multi color stack for self aligned dual pattern formation for multi purpose device structures

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10269623B2 (en) 2015-06-22 2019-04-23 Intel Corporation Image tone-reversal with a dielectric using bottom-up cross-linking for back end of line (BEOL) interconnects
WO2016209296A1 (en) 2015-06-26 2016-12-29 Intel Corporation Bottom-up selective dielectric cross-linking to prevent via landing shorts

Patent Citations (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090140262A1 (en) * 2006-09-20 2009-06-04 Fujitsu Limited Field-effect transistor
US20090148992A1 (en) * 2007-12-06 2009-06-11 Elpida Memory, Inc. Semiconductor device and method of manufacturing the same
US20090261424A1 (en) * 2008-04-22 2009-10-22 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for fabricating a dual workfunction semiconductor device and the device made thereof
US20100219481A1 (en) * 2009-01-09 2010-09-02 Imec Method for manufacturing a dual work function semiconductor device and the semiconductor device made thereof
US20100216289A1 (en) * 2009-02-20 2010-08-26 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having metal-semiconductor compound regions
US20110140195A1 (en) * 2009-12-10 2011-06-16 Micron Technology, Inc. Cross-point diode arrays and methods of manufacturing cross-point diode arrays
US20130234242A1 (en) * 2012-03-12 2013-09-12 Eui-Seong Hwang Semiconductor device with buried bit line and method for fabricating the same
US20130320433A1 (en) * 2012-05-31 2013-12-05 Heung-Jae Cho Vertical channel transistor with self-aligned gate electrode and method for fabricating the same
US20140077305A1 (en) * 2012-09-19 2014-03-20 Abhijit Jayant Pethe Gate contact structure over active gate and method to fabricate same
US9530733B2 (en) * 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US20150206923A1 (en) * 2014-01-23 2015-07-23 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device and method for manufacturing semiconductor device
US20160240774A1 (en) * 2014-02-28 2016-08-18 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device and method for producing the same
US20170330761A1 (en) * 2014-12-22 2017-11-16 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme
US20170330794A1 (en) * 2014-12-23 2017-11-16 Intel Corporation Via blocking layer
US20170263551A1 (en) * 2014-12-24 2017-09-14 Intel Corporation Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin
US20170278752A1 (en) * 2016-03-15 2017-09-28 Imec Vzw Self-aligned gate contact
US20200083106A1 (en) * 2016-06-09 2020-03-12 International Business Machines Corporation Fabrication of a vertical transistor with self-aligned bottom source/drain
US9842927B1 (en) * 2016-08-26 2017-12-12 Globalfoundries Inc. Integrated circuit structure without gate contact and method of forming same
US10014303B2 (en) * 2016-08-26 2018-07-03 Globalfoundries Inc. Devices with contact-to-gate shorting through conductive paths between fins and fabrication methods
US20180337188A1 (en) * 2017-05-18 2018-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Implantations for Forming Source/Drain Regions of Different Transistors
US20180374750A1 (en) * 2017-06-24 2018-12-27 Micromaterials Llc Methods Of Producing Fully Self-Aligned Vias And Contacts
US20190103477A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. FinFet Device and Method of Forming the Same
US20190273019A1 (en) * 2018-03-02 2019-09-05 Micromaterials Llc Methods for Removing Metal Oxides
US20190334008A1 (en) * 2018-04-30 2019-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US20190355621A1 (en) * 2018-05-16 2019-11-21 Micromaterials Llc Method For Increasing The Verticality Of Pillars
US20190378756A1 (en) * 2018-06-08 2019-12-12 Micromaterials Llc Method For Creating A Fully Self-Aligned Via
US10410927B1 (en) * 2018-07-23 2019-09-10 International Business Machines Corporation Method and structure for forming transistors with high aspect ratio gate without patterning collapse
US20200126844A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
US20200135887A1 (en) * 2018-10-30 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric constant reduction of gate spacer
US20200168606A1 (en) * 2018-11-27 2020-05-28 Imec Vzw Stacked semiconductor device and method of fabricating same
US20200168825A1 (en) * 2018-11-28 2020-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around semiconductor structure with diffusion break
US20200258744A1 (en) * 2019-02-11 2020-08-13 Applied Materials, Inc. Gate contact over active processes
US20200312849A1 (en) * 2019-03-25 2020-10-01 International Business Machines Corporation Gate recess uniformity in vertical field effect transistor
US20200365701A1 (en) * 2019-05-16 2020-11-19 Intel Corporation Thin film transistors with raised source and drain contacts and process for forming such
US20210013106A1 (en) * 2019-07-08 2021-01-14 International Business Machines Corporation Vertical field effect transistor replacement metal gate fabrication
US10985073B2 (en) * 2019-07-08 2021-04-20 International Business Machines Corporation Vertical field effect transistor replacement metal gate fabrication
US20210066120A1 (en) * 2019-09-03 2021-03-04 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of manufacture
US20210098597A1 (en) * 2019-09-27 2021-04-01 International Business Machines Corporation Replacement bottom spacer for vertical transport field effect transistors
US20210351079A1 (en) * 2020-05-08 2021-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming long channel back-side power rail device
US20220005937A1 (en) * 2020-07-06 2022-01-06 Applied Materials, Inc. Selective silicon etch for gate all around transistors
US20220028999A1 (en) * 2020-07-21 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Air Spacers For Semiconductor Devices
US20220130722A1 (en) * 2020-10-28 2022-04-28 Applied Materials, Inc. Multi color stack for self aligned dual pattern formation for multi purpose device structures

Also Published As

Publication number Publication date
US11437273B2 (en) 2022-09-06
US20200279773A1 (en) 2020-09-03

Similar Documents

Publication Publication Date Title
US10553485B2 (en) Methods of producing fully self-aligned vias and contacts
US10600688B2 (en) Methods of producing self-aligned vias
US11189635B2 (en) 3D-NAND mold
US11587796B2 (en) 3D-NAND memory cell structure
US10930556B2 (en) Contact over active gate structure
US10573555B2 (en) Methods of producing self-aligned grown via
US10510602B2 (en) Methods of producing self-aligned vias
US20220367285A1 (en) Contact over active gate structure
US20220367270A1 (en) Self-aligned contact and contact over active gate structures
US10930555B2 (en) Contact over active gate structure
US11930637B2 (en) Confined charge trap layer
US20230040627A1 (en) Selection gate structure and fabrication method for 3d memory
US20220319601A1 (en) Selection gate separation for 3d nand
US11476191B2 (en) Low resistance interconnect structure for semiconductor device
US20230009981A1 (en) Conductive structures and methods of formation
US20220367560A1 (en) Poly-silicon based word line for 3d memory
US20230164993A1 (en) Nand cell structure with charge trap cut
KR20220022464A (en) Selection gate separation for 3d nand

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED