JP7310146B2 - ハードマスク付き半導体デバイスの製造用の基板及び半導体デバイスの製造方法 - Google Patents

ハードマスク付き半導体デバイスの製造用の基板及び半導体デバイスの製造方法 Download PDF

Info

Publication number
JP7310146B2
JP7310146B2 JP2019005444A JP2019005444A JP7310146B2 JP 7310146 B2 JP7310146 B2 JP 7310146B2 JP 2019005444 A JP2019005444 A JP 2019005444A JP 2019005444 A JP2019005444 A JP 2019005444A JP 7310146 B2 JP7310146 B2 JP 7310146B2
Authority
JP
Japan
Prior art keywords
film
hard mask
mask
etching
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019005444A
Other languages
English (en)
Other versions
JP2020112755A (ja
Inventor
宏至 戸島
真司 古川
翔太 石橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019005444A priority Critical patent/JP7310146B2/ja
Priority to TW109100022A priority patent/TW202101532A/zh
Priority to KR1020200004758A priority patent/KR102379359B1/ko
Priority to US16/743,466 priority patent/US20200227273A1/en
Publication of JP2020112755A publication Critical patent/JP2020112755A/ja
Application granted granted Critical
Publication of JP7310146B2 publication Critical patent/JP7310146B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/352Sputtering by application of a magnetic field, e.g. magnetron sputtering using more than one target
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本開示は、ードマスク付き半導体デバイスの製造用の基板及び半導体デバイスに関する。
半導体デバイスの製造工程においては、基板である半導体ウエハ(以下、ウエハと記載する)に設けられる被エッチング膜に配線を形成するためにエッチングガスによるエッチングが行われる。このエッチングについては、ハードマスクが用いられる場合が有る。
特許文献1にはフォトマスクを構成する基板上に形成された遮光膜にパターンを形成するにあたり、ルテニウム、タンタル、チタンなどを含む金属群から選択される少なくとも一種の金属を含む材料により構成されるハードマスクを用いることが記載されている。特許文献2には、EUVリソグラフィー用の反射型マスク(フォトマスク)を製造するにあたり当該フォトマスクを構成する基板上に、シリコン膜である多層反射膜、ルテニウム及びチタンからなる合金膜をこの順に上側に向けて形成することについて記載されている。上記の合金膜は、フォトマスクの製造のための洗浄時及びエッチング時において、酸化シリコンの生成を防ぐ保護膜をなすことが示されている。
特開2018-10080号公報 WO2015/037564号公報
本開示は、半導体デバイスの製造用の基板に形成された被エッチング膜をエッチングしてパターンを形成するにあたり、当該エッチングのための基板の位置合わせに不具合が生じることなく、当該パターンの微細化を図ることができる技術を提供する。
本開示のハードマスク付き半導体デバイスの製造用の基板は、Ruと、Ti、Zr、Hf、V、Nb、Ta、Mo、W及びSiの中から選択された元素と、により構成される化合物からなる第1の膜を備えるハードマスクが形成された基板であり、
前記化合物は窒化、酸化あるいは炭化された化合物であり、
前記第1の膜と、前記第1の膜に対して基板側の方向である下方に積層されるRuを含まない第2の膜と、により構成される。
本開示によれば、半導体デバイスの製造用の基板に形成された被エッチング膜をエッチングしてパターンを形成するにあたり、当該エッチングのための基板の位置合わせに不具合が生じることなく、当該パターンの微細化を図ることができる。
本開示の一実施形態である半導体デバイスの製造工程図である。 本開示の一実施形態である半導体デバイスの製造工程図である。 本開示の一実施形態である半導体デバイスの製造工程図である。 本開示の一実施形態である半導体デバイスの製造工程図である。 本開示の一実施形態である半導体デバイスの製造工程図である。 本開示の一実施形態である半導体デバイスの製造工程図である。 本開示の一実施形態である半導体デバイスの製造工程図である。 前記半導体デバイスの製造工程を実施するシステムの概略構成図である。 前記システムに含まれる露光装置の概略構成図である。 前記システムに含まれる成膜装置の縦断側面図である。 本開示の他の実施形態である半導体デバイスの製造工程図である。 本開示の他の実施形態である半導体デバイスの製造工程図である。 評価試験の結果を示すグラフ図である。 評価試験の結果を示すグラフ図である。
本開示の一実施の形態である半導体デバイスの製造工程について、図1A~図1C、図2A~図2C、図3を参照しながら説明する。これらの各図は、半導体デバイスの製造用の基板であるウエハ1の縦断側面図である。図1Aに示すように、ウエハ1の表面には下層膜11、上層膜12がこの順に上側に向けて形成されており、下層膜11には半導体デバイスを構成する配線13が形成済みとなっている。また、下層膜11には後述するウエハ1の位置合せを行うためのアライメントマーク14が設けられている。上層膜12は、この例ではSiO(酸化シリコン)により構成される。
先ず、上記の上層膜12上にマスク膜15が形成される(図1B)。このマスク膜15は被エッチング膜である上層膜12をエッチングするためのハードマスク形成用の膜であり、その材料については後に詳しく述べる。続いて、マスク膜15上にレジスト膜16が形成される(図1C)。そして、レジスト膜16上から、アライメントマーク14の光学的な検出が行われ、検出された位置に基づいてウエハ1の位置合わせがなされた上で、レジスト膜16に露光が行われる。
露光されたレジスト膜16は現像されて、レジストパターンをなす開口部16Aが形成され、当該レジスト膜16がレジストマスクとして構成される(図2A)。然る後、ウエハ1にマスク膜15のエッチング用のエッチングガスが供給される。それにより、開口部16Aに沿ってマスク膜15にマスクパターンをなす開口部15Aが形成されて、マスク膜15がハードマスクとして構成される(図2B)。
その後、ウエハ1に例えばC(パーフルオロシクロブタン)ガスなどのフッ素を含有する、上層膜12のエッチング用のエッチングガスが供給される。それによりレジスト膜16が残留しているうちは当該レジスト膜16をマスクとし、レジスト膜16のエッチングによる消失後はマスク膜15をマスクとして、上層膜12のエッチングが進行する。既述のようにウエハ1が位置合せされているので、このエッチングによって上層膜12には開口部12Aが、配線13上の所定の位置に形成される。
さらにエッチングが進行して開口部12Aの底部に配線13が露出するとエッチングが停止する(図2C)。その後、マスク膜15を選択的に除去するための薬液にウエハ1が浸漬され、不要となった当該マスク膜15がウエットエッチングされる(図3)。開口部12Aには、後の工程において半導体デバイスを構成する配線が埋め込まれる。上記のように開口部12Aは配線13上に形成されているため、開口部12Aに埋め込まれた配線と配線13とは電気的に接続される。
ところで、既述の処理例のようにドライエッチングによって被エッチング膜にパターニングを行う処理を行う場合、従来はマスクとしてレジストマスク及びハードマスクのうち、レジストマスクのみが用いられていた。しかしその場合、半導体デバイスの配線の微細化に伴い、エッチング選択比、即ちマスクのエッチング量に対する被エッチング膜のエッチング量について、十分に大きくすることができなくなった。
その結果、エッチング処理中におけるマスク形状の変化による被エッチング膜の加工形状の劣化や、エッチング処理中におけるマスクの消失のおそれが発生するようになった。そこで既述の例のように、レジストマスクよりも上記のエッチング選択比が大きいハードマスクを用いて、エッチングガスによるエッチング処理中のマスクの変形を抑えることで、被エッチング膜の加工形状を良好にすることが図られるようになった。
ところで、半導体デバイスの製造工程では図1~図3で例示したように、被エッチング膜及びマスクの下方には、既に加工された構造物が形成されており、被エッチング膜の加工はこの加工済みの構造物に対して位置が合うように行われる必要がある。そのために、上記の処理例で示したように、マスクの下方に設けられるウエハ1の位置合せ用のアライメントマーク14を、光学的に検出することが求められる。レジスト膜16については一般に比較的良好な光透過性を有するため、この光学的な検出を行うことができるか否かはハードマスクの性質に依ることになる。従って、ハードマスクについては高いエッチング選択比且つ高い光透過性を有することが求められる。なお、ここでいう光とは可視光である。さらに、ハードマスクについては被エッチング膜のパターニング後は不要になるため、既述の処理例のようにウエットエッチングによって除去(剥離)することも求められる。
これまでは、比較的高いエッチング選択比及び比較的高い光透過性を有することに加えて、エッチング処理前後での成膜のしやすさと、剥離のしやすさとを有することから、ハードマスクの材料としてはTiN(窒化チタン)またはSiN(窒化シリコン)が選択されていた。なお、このように金属またはシリコンを含むハードマスクについては、その厚さが大きくなると光沢、即ち光反射性が大きくなり、上記の光透過性は低下してしまう。従って当該ハードマスクの厚さには制約が有る。
ところが近年においては、半導体デバイスの配線がさらに微細化している。従って、被エッチング膜に形成するパターンの開口はより小さくなり、それによって当該被エッチング膜を必要な深さまでエッチングするためのエッチング時間が比較的長くなる傾向が有る。そのために、ハードマスクについては、その厚さを抑えて十分な光透過性を確保しつつ、さらに大きなエッチング選択比を有するように構成することが求められている。
そこで、ハードマスクである上記のマスク膜15としては、Ruと、Ti、Zr、Hf、V、Nb、Ta、Mo、W及びSiの中から選択される少なくとも一つの元素と、により構成される化合物を用いる。Ruはルテニウム、Tiはチタン、Zrはジルコニウム、Hfはハフニウム、Vはバナジウム、Nbはニオブ、Taはタンタル、Moはモリブデン、Wはタングステン、Siはシリコンである。このような化合物によりハードマスクを構成することで、良好なエッチング選択比と良好な光透過性とを両立し得ることが、実験及び研究により明らかになった。
このようにRuと、Ti、Zr、Hf、V、Nb、Ta、Mo、W及びSiの中から選択される少なくとも一つの元素とにより構成される化合物(以降は、Ru含有ハードマスク化合物と記載する場合が有る)については、アモルファスとなっていることが確認された。当該Ru含有ハードマスク化合物について、比較的高いエッチング選択比が得られることが実験により確認されているが、そのようにアモルファスとなることが影響していると考えられる。また、後述の評価試験でも示されるようにハードマスクをRu単体により構成した場合は、光透過性については比較的低い。しかし、このRuに上記の各元素が添加されてハードマスクが構成されることで、当該ハードマスクにおけるRuによる光透過性を低くする作用が弱まり、当該光透過性を向上させることができる。なお、説明の煩雑化を避けるためにTi、Zr、Hf、V、Nb、Ta、Mo、W及びSiについて、以降はRuに対する添加元素と表記する場合が有る。
ところで、マスク膜15がRuにより構成されるとは、不純物としてRuを含むという意味ではなく、意図してRuが含まれるようにマスク膜15を形成することである。同様に、マスク膜15がTi、Zr、Hf、V、Nb、Ta、Mo、W及びSiのうちの少なくとも一つの元素を含むとは、不純物として当該元素を含むという意味ではなく、意図して当該元素が含まれるようにマスク膜15を形成することである。Ru含有ハードマスク化合物において、Ruに対するTi、Zr、Hf、V、Nb、Ta、Mo、W及びSiの組成の割合(元素成分比率)としては特に制限は無いが、例えば1%~99%である。
ところで上記のRu含有ハードマスク化合物については窒化してもよい。この窒化について詳しく説明すると、窒化処理を行ってもRuは窒素と結合せず、窒化されない。その一方で、上記のRuに対する添加元素については各々窒素と結合して窒化物となる。この窒化された元素については、窒化前よりも高い光透過性を有する。つまり、窒化したRu含有ハードマスク化合物を用いることで、マスク膜15は、より高い光透過性を有するため好ましい。
Ru含有ハードマスク化合物を窒化した場合について述べたが、当該Ru含有ハードマスク化合物を酸化した場合あるいは炭化した場合についても、窒化した場合と同様にRu及び上記のRuに対する添加元素のうち、Ruに対する添加元素のみが酸化または炭化される。それにより、Ruに対する添加元素については光透過性が向上し、ひいてはマスク膜15の光透過性が向上するため好ましい。なおマスク膜15については、例えば可視光である180nm~800nmの光を膜の表面に対して垂直に照射したとき、これらの各波長の光の透過率が10%~60%となるように構成されることが、実用上好ましい。
ところで、ハードマスクであるマスク膜15は少なくとも金属としてRuを含むため、図1Bに示すマスク膜15の膜厚H1が大きいと、上記のように金属光沢が現れて光透過性が低下してしまう。この膜厚H1は、後述の評価試験でも説明するように例えば10nm以下とすることが好ましい。また、膜厚H1が小さすぎると開口部15Aの形状が、ボーイング形状と呼ばれる側壁の垂直性が低い異常な形状になってしまうおそれが有る。それを防ぐために膜厚H1は、例えば5nm以上とすることが好ましい。
また、図2Cに示す開口部12Aの上端の開口径L1は例えば40nm以下であり、アスペクト比である開口部12Aの高さH2/開口径L1は、例えば2以上である。このような開口部12Aをエッチングによって形成する場合に、上記のようにエッチング時間が長くなるため、Ru含有ハードマスク化合物によりマスク膜15を形成することが特に有効である。
後述の評価試験で示すように、Ru含有ハードマスク化合物については、Ruと、上記したRuに対する添加元素のうちのWとを含む化合物、つまりRuとWとの合金とすることで、エッチング選択比を比較的高くすることができるので好ましい。そしてこのRuとWとの合金について窒化することで、さらにエッチング選択比をさらに高くすることができるためより好ましい。上記のようにRu及びWのうちのWのみが窒化されるので、そのように窒化した化合物はRuとWN(窒化タングステン)との合金であり、既述したようにアモルファス状態であるが、その元素の配列については、より無秩序性が高いことが確認されている。このRuとWとの合金を窒化した化合物について、RuWNとして表記する。以降、マスク膜15を構成するRuWN以外の化合物について表記する場合も、このRuWNと同様の表記の仕方で示す。即ちRuとRuに対する添加元素の中から選ばれた元素と、を並べて示す。そして、選ばれた元素が窒化されている場合にはNを付し、窒化されていない場合にはNを付さない。
続いて、図4に示した処理システム20について説明する。処理システム20は、図1~図3で説明した一連の処理を行うために、例えば成膜装置4、レジストパターン形成装置21、エッチング装置31及びウエットエッチング装置32を含み、搬送容器に格納されたウエハ1が、この順に装置間を搬送されて処理される。
成膜装置4は、この例ではPVD(Physical Vapor Deposition)により、図1Bで説明したようにマスク膜15としてRuWN膜を形成する。この成膜装置4の構成例については、後に詳しく説明する。レジストパターン形成装置21は、図1Cで説明したレジスト膜16の形成及び図2Aで説明した現像による開口部16Aの形成を、液処理により夫々行う塗布、現像装置22と、現像前にレジスト膜16の露光を行う露光装置23と、を含む。
既述した露光時のウエハ1の位置合せについて説明しておく。図5は露光装置23の概略図である。露光装置23は、ウエハ1を載置するステージ24と、ステージ24の上方に設けられる露光部25と、を備える。ステージ24は前後左右に移動自在且つ回転自在に構成される。露光部25はフォトマスクを介して露光ビーム26をウエハ1に照射するように構成されている。図中27はカメラであり、ウエハ1の表面を撮像する。この撮像によりアライメントマーク14が検出され、検出されたアライメントマーク14に基づいて露光部25に対して所定の位置にウエハ1が位置するように、ステージ24が移動する。そのようにウエハ1が位置合せされた後に、露光が行われる。
エッチング装置31は、内部にウエハ1を格納すると共に真空雰囲気を形成する真空容器と、例えばシャワーヘッドのように真空容器内にエッチングガスを供給するガス供給部と、を備える。そして、図2B、図2Cで説明したようにマスク膜15における開口部15Aの形成、上層膜12における開口部12Aの形成を行う。ウエットエッチング装置32は、ウエットエッチング液の貯留槽を備えている。このウエットエッチング液にウエハ1が浸漬され、図3で説明したようにマスク膜15が除去される。
続いて、マスク膜15を形成する上記の成膜装置4の構成の一例について、図6を参照して説明する。図中41は真空容器であり、金属製であり且つ接地されている。図中42は、真空容器41内を排気して所望の圧力の真空雰囲気とする排気機構である。図中43は、ウエハ1を吸着する静電チャックであり、図中44は、当該静電チャック43を構成するウエハ1の吸着用の電極である。図中45は静電チャック43に設けられたウエハ1を加熱するヒーターであり、図中46は静電チャック43の表面に開口したガス供給孔である。ガス供給孔46は、不活性ガス供給源47から供給される不活性ガスを、静電チャック43の熱をウエハ1に伝える伝熱用ガスとしてウエハ1の裏面に供給する。
図中48は静電チャック43を支持する支柱であり、真空容器41の底部を貫通し、その下端部は駆動機構49に接続されている。この駆動機構49により、静電チャック43及び当該静電チャック43に吸着保持されるウエハ1が、各々の中心軸回りに回転する。また、真空容器41の底部にはガス供給部40が設けられ、当該ガス供給部40はガス流路を介してN(窒素)ガスの供給機構40Aに接続されている。
真空容器41の天井部にはターゲット51A、51Bが、板状の電極52A、52Bの下方側に当該電極52A、52Bに夫々接続されて設けられている。ターゲット51A、51Bは夫々Ru、Wにより構成される。図中53は絶縁部材であり、電極52A、52Bと真空容器41とを絶縁する。電極52A、52Bには直流電源54A、54Bが夫々接続されている。図中55A、55Bは真空容器41の外側に設けられるマグネットであり、マグネット駆動部56A、56Bにより夫々、電極52A、52Bの上方を当該電極52A、52Bの上面に沿って移動する。また、真空容器41の天井部にはガス供給部57が設けられ、当該ガス供給部57はガス流路を介して不活性ガスの供給機構58に接続されている。
図中50はコンピュータからなる制御部であり、プログラムを含む。当該プログラムにより、制御部50から成膜装置4の各部に制御信号が出力されて、その動作が制御され、後述するようにウエハ1へのマスク膜15の成膜が行われる。上記のプログラムは、例えばコンパクトディスク、ハードディスク、DVDなどの記憶媒体に格納されて、制御部50にインストールされる。
成膜装置4におけるウエハ1の処理について説明する。ガス供給部40からNガス、ガス供給部57から不活性ガスが夫々供給されるときに、直流電源54A及び54Bから電極52A及び電極52Bを介してターゲット51A及び51Bに夫々電圧が印加されると共にマグネット55A、55Bの移動が行われる。それにより不活性ガスが励起されてプラズマ化し、プラズマ中の正イオンが衝突することでターゲット51A、51Bを夫々構成するRu、Wがスパッタされ、ウエハ1にRuとWとの合金膜が形成される。また、このとき上記のNガスについてもプラズマ化されて、上記の合金膜が窒化されることでRuWNであるマスク膜15が成膜される。
マスク膜15としてRuWNを形成する場合の成膜装置4の構成例について示したが、ターゲット51A、51Bを構成する材料を適宜選択することで、他の化合物の膜についてもマスク膜15として形成することができる。また、マスク膜15について酸化を行う場合、炭化を行う場合には、ガス供給部40からNガスの代わりに酸素ガス、メタンなどの炭素化合物のガスを夫々供給すればよい。これらマスク膜15の窒化、酸化及び炭化を行わない場合には、ガス供給部40からのガス供給を行わなくてよい。
本実施形態によれば、上記のRu含有ハードマスク化合物によってマスク膜15を構成することにより、当該マスク膜15については高い光透過性を得ることができる。従って、アライメントマーク14の光学的な検出が可能であるため、露光時のウエハ1の位置合わせに不具合が生じることを防ぐことができる。さらにマスク膜15は高いエッチング選択比を有する。即ち上層膜12のエッチング中に、マスク膜15がエッチングされることが抑制される。従って、上層膜12に形成するパターンである開口部12Aが微細であっても開口部12Aを所望の深さまでエッチングすることができるため、開口部12A及び当該開口部12Aに埋め込む配線を微細化することができる。なお、上記の特許文献1、2はフォトマスクを製造するための技術であるため、本開示の技術とは構成及び用途について異なる。
マスク膜15を構成するRu含有ハードマスク化合物については、上記のTi、Zr、Hf、V、Nb、Ta、Mo、W及びSiのうち、2つ以上の元素を含んでいてもよい。その場合には、例えば上記の成膜装置4について、ターゲット、電極、直流電源及びマグネット駆動部からなる組を増設して成膜処理を行えばよい。さらにマスク膜15についてはPVDによりウエハ1に形成することには限られず、例えばCVD(Chemical Vapor Deposition)によって形成してもよい。ただし、上記のように成膜装置4を用いて成膜する場合、直流電源54A、54Bから供給される電力を調整することでプラズマの分布を調整し、ターゲット51A、51Bの夫々のスパッタされる量を調整することができる。それによってRu含有ハードマスク化合物中のRuと、Ruに対する添加元素との組成比を調整することができる。即ち、この組成比についての調整を容易に行うことができるため有利である。
ところでハードマスクについては、図7Aに示すようにRu含有ハードマスク化合物からなるマスク膜15と、その下方に積層されて設けられたRuを含まない下側マスク膜18と、からなる積層膜19により構成してもよい。この場合、マスク膜15が第1の膜に、下側マスク膜18が第2の膜に夫々相当する。下側マスク膜18は、例えばTiNまたはSiNにより構成される。なお、下側マスク膜18がRuを含まないとは、膜の構成成分として含まれないということであり、不純物としてRuが含まれない意味ではない。下側マスク膜18については、マスク膜15と同様にPVDやCVDによって形成することができる。
図7Bは、積層膜19の形成後に図1~図3で説明した手順で処理を行い、上層膜12に開口部12Aを形成した状態を示している。上層膜12をエッチングするにあたり、マスク膜15は上記のようにエッチング選択比が高いため当該エッチング中の消失が抑制されるし、消失しても下側マスク膜18によってエッチングを続けることができる。また、TiN及びSiNについては厚さが比較的大きくても、比較的高い光透過性を有する。従って、この積層膜19によりハードマスクを構成する場合、高い光透過性を確保しつつ、ハードマスクとしての厚さを比較的大きくして、エッチング中における消失を防ぐことができる。
なお、実験により、膜厚が15nmのTiN膜と、当該TiN膜上に形成した膜厚が5nmのRu膜とからなる積層膜について、良好な光透過性が得られることが確認されている。上記したようにRu含有ハードマスク化合物は、Ru単体よりも良好な光透過性を示す。従って、一例としてマスク膜15の厚さH3を5nm以下、下側マスク膜18の厚さH4を15nm以下とすることで、上記の積層膜19については良好な光透過性が得られるので好ましい。
なお、Ru含有ハードマスク化合物からなるマスク膜15を下方側に、TiNあるいはSiNである下側マスク膜18を上方側に配置すると、エッチング中に下側マスク膜18が速やかに消失することで、積層膜19全体が消失する時間が比較的短くなってしまう。従って、上記したようにRu含有ハードマスク化合物からなるマスク膜15を上方側に、TiNあるいはSiNである下側マスク膜18を下方側に配置する。
上記の例では被エッチング膜である上層膜12としてはSiOにより構成されているが、SiOには限られず、例えばSiN(窒化シリコン)により構成されていてもよい。なお、このように被エッチング膜をSiNとする場合には、図7Aで説明したハードマスクである上記の下側マスク膜18は、SiN以外の材料とする。また、アライメントマーク14の光学的な検出としては、上記のようにウエハ1を撮像することに限られない。例えば、ウエハ1の表面側から、アライメントマーク14に光照射したときと、アライメントマーク14の外側に光照射したときとで、光の反射量が異なるように当該アライメントマーク14が構成されているものとする。その場合、ウエハ1の表面に局所的に光を照射する光照射部と、その反射光を受光する受光素子とをウエハ1に対して相対的に移動させ、受光素子による反射光の受光量に基づいてアライメントマーク14の検出を行ってもよい。
なお、今回開示された実施形態は、全ての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の特許請求の範囲及びその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
(評価試験)
続いて、既述の実施形態に関連して行われた評価試験について説明する。
評価試験1
評価試験1では、互いに異なる膜(試験膜とする)が形成された各基板にCガスとNガスとの混合ガスを供給してエッチングを行った。各試験膜の材料としては、TiN、RuW、RuWN、RuHf及びRuHfNである。そして試験膜をエッチングしたときと、同様の条件、同様の処理時間でSiO膜についてエッチングを行った。そして各試験膜について、SiO膜のエッチング量/試験膜のエッチング量をSiO膜に対するエッチング選択比として算出した。
この評価試験1の結果を図8の棒グラフに示しており、グラフの縦軸は、上記のエッチング選択比を表している。当該エッチング選択比について、TiN膜が4.7、RuW膜が19、RuWN膜が30以上、RuHf膜が12.8、RuHfN膜が30以上であった。TiN膜はハードマスクとして比較的広く使われているが、既述したようにパターンの微細化に対応し難くなっている。そしてエッチング選択比としては、このTiN膜のエッチング選択比の約2倍以上、即ち10程度以上とすることが、実用上望まれている。従って、RuW膜、RuWN膜、RuHf膜、RuHfN膜については、実用上、十分なエッチング選択比を備えていることが、この評価試験1から確認された。また、このエッチング選択比について、RuW膜よりもRuWN膜の方が高く、RuHf膜よりもRuHfN膜の方が高い。つまり上記のRu含有ハードマスク化合物について、窒化することによりエッチング選択比をより高くすることができることが分かる。
評価試験2
評価試験2においては、SiO膜が形成された基板にCガスとNガスとの混合ガスを供給して、当該SiO膜を120nmエッチングした。また、基板に各々形成された試験膜であるWN膜、RuHfN膜、RuWN膜について、このSiO膜のエッチングと同条件で同じ時間エッチングを行い、エッチング量を測定すると共に、評価試験1と同様にSiO膜に対するエッチング選択比を算出した。
この評価試験2の結果を図9の棒グラフに示しており、グラフの縦軸がエッチング選択比を表している。エッチング量についてWN膜が8.7nm、RuHfN膜が1.6nm、RuWN膜が0nmであった。従って、エッチング選択比について、WN膜が14、RuHfN膜が74、RuWN膜が100以上である。このように評価試験2からは、Ruを含む合金の窒化物の膜は比較的高いエッチング選択比を示し、特にRuWN膜のエッチング選択比が高いことが確認された。
評価試験3
この評価試験3では、評価試験1、2と同様に、エッチングガスとしてCガスとNガスとの混合ガスを、試験膜が形成された基板に供給し、SiO膜に対する各試験膜のエッチング選択比を算出した。試験膜としては、RuW膜、RuWN膜、Ru膜を夫々用いた。さらにこれらRuW膜、RuWN膜、Ru膜について、特定の化合物からなるウエットエッチング液に基板を浸漬した際に、当該基板から除去されるか否かを調べた。
RuW膜、RuWN膜、Ru膜について、エッチング選択比は夫々19、30以上、21.5であった。従って、エッチング選択比についてはいずれも比較的高い値を示した。そして、Ru膜についてはウエットエッチングで除去されなかったが、RuW膜、RuWN膜については除去された。従って、RuW膜、RuWN膜についてはハードマスクとして使用するにあたって必要な要件を備えていることが確認された。
評価試験4
この評価試験4では、複数のガラス板にWN膜、RuWN膜を夫々成膜した。このWN膜及びRuWN膜の膜厚はガラス板毎に変更しており、10nmあるいは20nmの膜厚を有するように成膜した。そして、このように成膜を行ったガラス板を、文字が記載された基板上に、当該文字を覆うように載置し、目視で文字を確認できるか否か調べた。
RuWN膜について、厚さが10nmの場合は文字を確認することができたが、厚さが20nmの場合は文字を確認することが難しかった。WN膜について、厚さが10nmの場合は、文字を確認することができたが、厚さが20nmの場合は、文字を確認することが難しかった。なお、RuWN膜とWN膜との厚さが互いに同じ場合、ややWN膜の方が文字を認識しやすいが、認識のしやすさに大きな違いはなかった。
この評価試験4の結果から、RuWN膜について10nm以下の厚さとすると、十分な光透過性を確保できるため好ましいことが確認された。ところで上記のようにRuWN膜については、評価試験1~3で高いエッチング選択性を有することが確認され、評価試験3でウエットエッチングによって除去可能なことが確認された。さらに、この評価試験4において光透過性を有することが確認された。つまり、評価試験1~4の結果から、RuWN膜についてはハードマスクとして好適なことが分かる。
評価試験5
この評価試験5では、評価試験4と同様の試験を行った。ただしガラス膜に形成する膜の種類及び膜の厚さの組み合わせについては評価試験4と異なっている。この評価試験5では厚さが20nmのTiN膜、厚さが20nmのRu膜、厚さが10nmのRu膜、厚さが20nmのTiRuN膜を、夫々ガラス板に成膜した。このTiRuN膜については、TiとRuとの組成比が異なる2種類の膜を成膜しており、Ruの組成比が小さい方の膜を第1のTiRuN膜、Ruの組成比が大きい方の膜を第2のTiRuN膜とする。
文字の認識の容易性、即ち光透過性については、20nmのTiN膜>厚さが10nmのRu膜=厚さが20nmの第1のTiRuN膜>厚さが20nmの第2のTiRuN膜>厚さが20nmのRu膜であった。ただし、厚さが20nmの第1のTiRuN膜の光透過性よりも、より高い光透過性を持つことが望ましいという試験結果となった。この評価試験5の結果と上記の評価試験4の結果とから、十分な光透過性を有するようにするために、Ru含有ハードマスク化合物についての膜厚は10nm以下とすることが好ましいことが考えられる。
1 ウエハ
12 上層膜
12A 開口部
15 マスク膜
15A 開口部

Claims (4)

  1. Ruと、Ti、Zr、Hf、V、Nb、Ta、Mo、W及びSiの中から選択された元素と、により構成される化合物からなる第1の膜を備えるハードマスクが形成された基板であり、
    前記化合物は窒化、酸化あるいは炭化された化合物であり、
    前記第1の膜と、前記第1の膜に対して基板側の方向である下方に積層されるRuを含まない第2の膜と、により構成されるハードマスク付き半導体デバイスの製造用の基板。
  2. 前記第2の膜は、TiNまたはSiNである請求項記載のハードマスク付き半導体デバイスの製造用の基板。
  3. Ruと、Ti、Zr、Hf、V、Nb、Ta、Mo、W及びSiの中から選択された元素と、により構成される化合物からなるハードマスク形成用の膜を、半導体デバイスの製造用の基板に設けられる被エッチング膜上に形成する膜形成工程と、
    次いで、前記ハードマスク形成用の膜にパターンを形成して、ハードマスクを形成する工程と、
    続いて前記ハードマスクを介して、前記被エッチング膜をエッチングする工程と、
    を備え、
    前記化合物は窒化、酸化あるいは炭化された化合物であり、
    前記膜形成工程は、前記化合物からなる第1の膜と、前記第1の膜に対して基板側の方向である下方に積層されるRuを含まない第2の膜と、を前記ハードマスク形成用の膜として前記被エッチング膜上に形成する工程である半導体デバイスの製造方法。
  4. 前記膜形成工程の後、前記ハードマスク形成用の膜上にレジスト膜を形成する工程と、
    前記基板において、前記ハードマスク形成用の膜よりも当該基板側の方向である下方に位置するマークを光学的に検出する工程と、
    検出した前記マークの位置に基づいて前記レジスト膜を露光してレジストパターンを形成し、当該レジストパターンを介して前記ハードマスク形成用の膜に前記パターンを形成する工程と、
    を含む請求項記載の半導体デバイスの製造方法。
JP2019005444A 2019-01-16 2019-01-16 ハードマスク付き半導体デバイスの製造用の基板及び半導体デバイスの製造方法 Active JP7310146B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2019005444A JP7310146B2 (ja) 2019-01-16 2019-01-16 ハードマスク付き半導体デバイスの製造用の基板及び半導体デバイスの製造方法
TW109100022A TW202101532A (zh) 2019-01-16 2020-01-02 硬遮罩及半導體元件之製造方法
KR1020200004758A KR102379359B1 (ko) 2019-01-16 2020-01-14 하드 마스크 및 반도체 디바이스의 제조 방법
US16/743,466 US20200227273A1 (en) 2019-01-16 2020-01-15 Hard mask and semiconductor device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019005444A JP7310146B2 (ja) 2019-01-16 2019-01-16 ハードマスク付き半導体デバイスの製造用の基板及び半導体デバイスの製造方法

Publications (2)

Publication Number Publication Date
JP2020112755A JP2020112755A (ja) 2020-07-27
JP7310146B2 true JP7310146B2 (ja) 2023-07-19

Family

ID=71516397

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019005444A Active JP7310146B2 (ja) 2019-01-16 2019-01-16 ハードマスク付き半導体デバイスの製造用の基板及び半導体デバイスの製造方法

Country Status (4)

Country Link
US (1) US20200227273A1 (ja)
JP (1) JP7310146B2 (ja)
KR (1) KR102379359B1 (ja)
TW (1) TW202101532A (ja)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005085821A (ja) 2003-09-04 2005-03-31 Toshiba Corp 磁気抵抗効果素子及び磁気メモリ
JP2007081383A (ja) 2005-08-15 2007-03-29 Fujitsu Ltd 微細構造の製造方法
JP2015032783A (ja) 2013-08-06 2015-02-16 マイクロン テクノロジー, インク. 半導体装置の製造方法
US20150118604A1 (en) 2012-05-25 2015-04-30 The Regents Of The University Of California Method for fabrication of high aspect ratio trenches and formation of nanoscale features therefrom
JP2015122133A (ja) 2013-12-24 2015-07-02 株式会社東芝 パターン形成方法、スタンパーの製造方法、及び磁気記録媒体の製造方法
WO2018181891A1 (ja) 2017-03-31 2018-10-04 凸版印刷株式会社 位相シフトマスクブランク、位相シフトマスク及び位相シフトマスクの製造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3366572B2 (ja) * 1998-06-08 2003-01-14 富士通株式会社 X線露光用マスク及びその作成方法
KR100725451B1 (ko) * 2005-06-07 2007-06-07 삼성전자주식회사 강유전체 캐패시터의 제조 방법 및 이를 이용한 반도체장치의 제조 방법
KR100948770B1 (ko) * 2008-06-27 2010-03-24 주식회사 에스앤에스텍 블랭크 마스크, 포토마스크 및 이의 제조 방법
KR102239726B1 (ko) 2013-09-11 2021-04-12 호야 가부시키가이샤 다층 반사막을 구비한 기판, euv 리소그래피용 반사형 마스크 블랭크, euv 리소그래피용 반사형 마스크 및 그 제조 방법과 반도체 장치의 제조 방법
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
JP2018010080A (ja) 2016-07-12 2018-01-18 凸版印刷株式会社 位相シフト型フォトマスクブランク

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005085821A (ja) 2003-09-04 2005-03-31 Toshiba Corp 磁気抵抗効果素子及び磁気メモリ
JP2007081383A (ja) 2005-08-15 2007-03-29 Fujitsu Ltd 微細構造の製造方法
US20150118604A1 (en) 2012-05-25 2015-04-30 The Regents Of The University Of California Method for fabrication of high aspect ratio trenches and formation of nanoscale features therefrom
JP2015032783A (ja) 2013-08-06 2015-02-16 マイクロン テクノロジー, インク. 半導体装置の製造方法
JP2015122133A (ja) 2013-12-24 2015-07-02 株式会社東芝 パターン形成方法、スタンパーの製造方法、及び磁気記録媒体の製造方法
WO2018181891A1 (ja) 2017-03-31 2018-10-04 凸版印刷株式会社 位相シフトマスクブランク、位相シフトマスク及び位相シフトマスクの製造方法

Also Published As

Publication number Publication date
JP2020112755A (ja) 2020-07-27
KR20200089228A (ko) 2020-07-24
TW202101532A (zh) 2021-01-01
KR102379359B1 (ko) 2022-03-28
US20200227273A1 (en) 2020-07-16

Similar Documents

Publication Publication Date Title
JP7058080B2 (ja) Aleおよび選択的蒸着を用いた基板のエッチング
JP7504977B2 (ja) リソグラフィにおける確率的な歩留まりへの影響の排除
JP6716629B2 (ja) 位相反転ブランクマスク及びその製造方法
US8927179B2 (en) Optical member for EUV lithography, and process for production of reflective layer-equipped substrate
US7838180B2 (en) Mask blank, method of manufacturing an exposure mask, and method of manufacturing an imprint template
US20130048606A1 (en) Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
KR100885636B1 (ko) 블랭크 마스크 및 이를 이용한 포토마스크의 제조방법
WO2019229785A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TWI766866B (zh) 蝕刻方法
US20190302604A1 (en) Mask blank, phase shift mask, method of manufacturing phase shift mask, and method of manufacturing semiconductor device
US11960201B2 (en) Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
JP5295968B2 (ja) 半導体装置の製造方法および装置
JP7310146B2 (ja) ハードマスク付き半導体デバイスの製造用の基板及び半導体デバイスの製造方法
US11054735B2 (en) Mask blank, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device
KR20100123644A (ko) 에칭 방법 및 포토마스크 블랭크의 가공 방법
US20220043335A1 (en) Mask blank, transfer mask, and semiconductor-device manufacturing method
EP4212956A1 (en) Phase shift mask blank, phase shift mask, and method for manufacturing phase shift mask
JP5402860B2 (ja) レジストパターンの転写方法及びフォトマスクの製造方法
US11815804B2 (en) EUV mask blank and method of making EUV mask blank
JP7417578B2 (ja) マスクブランクスおよびその製造方法
US11915932B2 (en) Plasma etching of mask materials
US20210173296A1 (en) Photomask blank, manufacturing method of photomask and photomask
KR20240099050A (ko) 반사형 마스크 블랭크, 반사형 마스크 및 그의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220510

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230302

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230606

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230619

R150 Certificate of patent or registration of utility model

Ref document number: 7310146

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150