CN108682737A - 蚀刻非挥发性金属材料的方法 - Google Patents

蚀刻非挥发性金属材料的方法 Download PDF

Info

Publication number
CN108682737A
CN108682737A CN201810360987.6A CN201810360987A CN108682737A CN 108682737 A CN108682737 A CN 108682737A CN 201810360987 A CN201810360987 A CN 201810360987A CN 108682737 A CN108682737 A CN 108682737A
Authority
CN
China
Prior art keywords
metal
metallic compound
etching
layer
implement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810360987.6A
Other languages
English (en)
Inventor
沈美华
哈梅特·辛格
萨曼塔·S·H·坦
杰弗里·马克斯
索斯滕·利尔
理查德·P·雅内克
杨文斌
普利素·沙马
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN108682737A publication Critical patent/CN108682737A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/08Apparatus, e.g. for photomechanical printing surfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

本发明涉及蚀刻非挥发性金属材料的方法,具体提供了一种在一个或者多个循环内蚀刻具有至少一个金属层的堆叠的方法。实施初始步骤,将至少一个金属层的一部分转化成金属氧化物、金属卤化物或者晶格损坏的金属部位。实施反应步骤,提供一个或者多个循环,其中每个循环包括提供有机溶剂蒸气以形成溶剂化的金属、金属卤化物或者金属氧化物的状态,以及提供有机配体溶剂以形成挥发性的有机金属化合物。实施挥发性的有机金属化合物的解吸。

Description

蚀刻非挥发性金属材料的方法
本申请是申请日为2015年3月27日、中国专利申请号为201510140906.8、发明名称为“蚀刻非挥发性金属材料的方法”的发明专利申请的分案申请。
相关申请的交叉引用
本申请根据35U.S.C.§119(e)要求在2014年3月27日提出申请的题为“蚀刻和去除蚀刻后金属残留物”的美国临时专利申请No.61/971,032的优先权,在此基于所有目的以参考的方式将其并入本文中。
背景技术
本发明涉及在半导体器件的生产期间通过掩膜来蚀刻非挥发性材料层。更具体而言,本发明涉及蚀刻金属层。
在半导体晶片加工期间,可以通过金属层蚀刻特征。在形成磁阻式随机存储器(MRAM)或者电阻式随机存储器(RRAM)设备期间,多个薄的金属层或者膜可被依次进行蚀刻。对于MRAM,多个薄金属层可以被用于形成金属隧道结堆叠。
由于蚀刻副产物的低挥发性,因此在传统的反应离子蚀刻机(RIE)中将诸如MRAM之类的非挥发性金属材料图案化是具有挑战性的。非挥发性侧壁钝化可导致器件跨磁性隧道结区域短路以及电性能降低。离子束蚀刻(IBE)已被用于MRAM图案化以清理侧壁和维持材料完整性。然而,对于具有高图案密度的先进技术节点,IBE受深宽比(<2:1)的限制。
发明内容
为了实现上述意图并根据本发明的目的,提供了一种在一个或者多个循环内蚀刻具有至少一个金属层的堆叠的方法。实施初始步骤,将所述至少一个金属层的一部分转化成金属氧化物、金属卤化物或者晶格损坏的金属部位。实施反应步骤,提供一个或者多个循环,其中每个循环包括提供有机溶剂蒸气以形成溶剂化的金属、金属卤化物或者金属氧化物状态,并且提供有机配体溶剂以形成挥发性有机金属化合物。实施所述挥发性有机金属化合物的解吸。
在本发明的另一表现形式中,提供了一种蚀刻具有至少一个金属层的MRAM堆叠的方法,所述至少一个金属层设置于隧道层之下,所述隧道层设置于掩膜之下。蚀刻所述隧道层。间隔物层形成在已蚀刻的隧道层之上。打开间隔物。所述至少一个金属层在一个或者多个循环内被蚀刻,其中每个循环包括:实施初始步骤,从而将所述至少一个金属层的一部分转化成金属氧化物、金属卤化物或者晶格损坏的金属部位,实施提供一个或者多个循环的反应步骤,其中每个循环包括提供有机溶剂蒸气以形成溶剂化的金属、金属卤化物或者金属氧化物状态,并且提供有机配体溶剂以形成挥发性的有机金属化合物;以及实施所述挥发性有机金属化合物的解吸。
本发明的这些和其他特征将在本发明的具体说明中并结合附图在以下进行更具体的叙述。
附图说明
本发明在附图的图中是以示例的方式而不是以限制的方式示出,且附图中相似的参考标记指代类似的元件,其中:
图1是本发明的一个实施例的高级流程图。
图2A至图2H是根据本发明的一个实施例进行处理的堆叠的示意图。
图3是可用于蚀刻的蚀刻反应器的示意图。
图4示出了一计算机系统,其适于实现在本发明的实施例中使用的控制器。
图5是反应步骤的更详细的流程图。
图6A至图6E是根据本发明的一实施例进行处理的MRAM堆叠的示意图。
具体实施方式
现在将参考附图中所示的一些优选实施例对本发明进行具体说明。在下面的说明中,阐述了多个具体细节以提供对本发明的彻底理解。然而,对于本领域的技术人员来讲,显而易见的是,本发明没有这些具体细节的部分或者全部也可以实施。在其他情况下,众所周知的工艺步骤和/或结构并未被详细叙述以免非必要地模糊本发明。
为了便于理解,图1是在本发明的一个实施例中使用的工艺的高级流程图。提供具有堆叠的基底,该堆叠具有至少一个含金属层(步骤104)。提供初始步骤(步骤108)。提供反应步骤(步骤112)。提供解吸步骤(步骤116)。
实施例
在本发明的一优选实施例的示例中,提供了具有堆叠的基底,该堆叠具有至少一个金属层(步骤104)。图2A是基底204之上的堆叠200的剖视图。堆叠200包括布置在掩膜212之下的至少一个金属层208。所述至少一个金属层208可以包括带有非金属层的一个或者多个金属层。此外,一个或者多个层可以被布置在所述基底204与至少一个金属层208之间。此外,一个或者多个层可以被布置在所述至少一个金属层208与所述掩膜212之间。在本实施例中,至少一个金属层208为钽,作为位于铂锰(PtMn)层或者钴铂/钴钯(CoPt/CoPd)层之下的底电极层,其在MRAM膜堆叠中为一固定的磁性层。
在一实施例中,所有处理可以在一个单一的等离子体蚀刻腔内进行。图3是可用于实施这样的实施例的蚀刻反应器(etch reactor)的示意图。在本发明的一个或者多个实施例中,蚀刻反应器300包括在由腔壁350包围的蚀刻腔349内的气体分配板306和卡盘308,该气体分配板306具有气体进口。在蚀刻腔349内,其上形成有堆叠的基底204被定位在卡盘308的顶部上。卡盘308可以作为静电卡盘(ESC)自ESC源348提供偏置以保持基底204或者可以使用另一种夹持力以保持基底204。提供了例如发热灯之类的热源310以加热金属层。离子源324、溶剂汽化器(solvent vaporizer)326和配体汽化器(ligand vaporizer)328通过分配板306连接到蚀刻腔349。配体源327被连接至配体汽化器328。溶剂源325被连接至溶剂汽化器326。ESC温度控制器被连接至卡盘308,并且提供对卡盘308的温度控制。
图4是示出了计算机系统400的高级框图,该计算机系统400适于实现在本发明的实施例中使用的控制器335。计算机系统可以具有多种物理形式,其范围从集成电路、印刷电路板以及小型手持设备到巨型超级计算机。计算机系统400包括一个或者多个处理器402,并且进一步可以包括电子显示装置404(用于显示图形、文本以及其他数据)、主存储器406(例如,随机存储器(RAM))、存储设备408(例如,硬盘驱动器)、可移动存储装置410(例如,光盘驱动器)、用户接口设备412(例如,键盘、触摸屏、小键盘、鼠标或者其他指示设备等)以及通信接口414(例如,无线网络接口)。通信接口414允许软件和数据通过链路在计算机系统400和外部设备之间传输。系统还可以包括通信基础设施416(例如,通信总线、交叉棒(cross-over bar)、或者网络),前述的设备/模块被连接于该通信基础设施416。
经由通信接口414传输的信息可以是能通过通信链路由通信接口414接收的信号的形式,所述信号是例如电子、电磁、光感、或者其他信号,所述通信链路携带信号并且可以是使用电线或电缆、光纤、电话线、蜂窝电话链路、射频链路、和/或其他通信通道实现的通信链路。利用这样的通信接口,可预期,一个或者多个处理器402可以自网络接收信息或者可以在实施上述方法步骤的过程中向网络输出信息。另外,本发明的方法实施例可以仅在处理器上执行或者可以与远程处理器结合在诸如因特网之类的网络上执行,所述远程处理器共享部分处理。
术语“非暂态计算机可读介质”一般用来指诸如主存储器、辅助存储器、可移动存储装置、以及存储设备(例如硬盘、闪存、硬盘驱动存储器、CD-ROM以及其他形式的永久性存储器)之类的介质,并且不得被解释为涵盖诸如载波或者信号之类的暂时性的标的。计算机代码的例子包括例如由编译器产生的机器代码,以及包括使用解释器由计算机执行的更高级代码的文件。计算机可读介质还可以是通过体现在载波中的计算机数据信号传输并且表示为可由处理器执行的指令序列的计算机代码。
提供初始步骤(步骤108)。初始步骤初始化反应部位,将至少一个金属层的一部分转化成金属氧化物、金属卤化物或晶格损坏的金属部位。在此实施例中,初始步骤是通过使用离子流(ionic flux)或离子束(ion beam)以使至少一个金属层208的一部分转化为金属氧化物、金属卤化物或晶格损坏的金属部位而提供的。在本例中,氧等离子体或离子束可以通过离子束蚀刻(IBE)被施加到晶片表面以氧化无掩膜覆盖的膜。在另一实施例中,也可以使用氯等离子体或低能量惰性气体等离子体的离子。图2B是经实施初始步骤(步骤108)之后的堆叠200的剖视图。至少一个金属层208的未掩盖的表面层被暴露于离子流或离子束,且被转化成已改性的金属部位216。在此例中,所述已改性的金属部位216为金属,其被转化成金属氧化物、金属卤化物或晶格损坏的金属部位。在本实施例中,从离子源324提供离子到蚀刻腔349。
提供反应步骤(步骤112)。图5是提供反应步骤(步骤112)的更详细的流程图,该反应步骤(步骤112)在本发明的一实施例中被提供。在本实施例中,反应步骤(步骤112)包括溶剂化已改性的金属部位的步骤(步骤504)和配体复合物形成步骤(步骤508)。在本实施例中,溶剂化已改性的金属部位的步骤(步骤504)在已改性的金属部位形成溶剂化的金属。在本实施例中,已改性的金属部位被暴露于蒸气溶剂。溶剂源325提供溶剂至溶剂汽化器326,该溶剂汽化器326将溶剂汽化并提供溶剂蒸气到蚀刻腔349内。这样的溶剂可以是醇、胺或烃。这样的溶剂可以是极性的或非极性的、碱性的或酸性的。提供溶剂化金属有助于定位金属电子和促进有机配体接合。在本实施例中,配体复合物形成步骤(步骤508)提供配体蒸气,从而将溶剂化的金属转化成有机金属化合物。配体源327提供配体至配体汽化器328,该配体汽化器328将配体汽化并提供配体蒸气到蚀刻腔349。在本实施例中,配体蒸气提供有机配体。可用于形成配体复合物的有机配体可以包括乙酰丙酮酸酯(acac)族(如双(acac)-EDIM)、乙酸、酰胺、脒盐(amidinates)(tBuNC(R)Net)、烯丙基、乙烯、乙炔和环戊二烯基配体。在本实施例中,溶剂化已改性的金属部位的步骤(步骤504)和配体复合物形成步骤(步骤508)循环实施多次。在其他实施例中,所述将已改性的金属部位溶剂化的步骤和配体复合物形成步骤可以同时进行。当同时进行这些步骤时,配体浓度必须高。图2C是经实施了反应步骤(步骤112)后的堆叠200的剖视图。所述已改性的金属部位已被转化成有机金属部位220。在本实施例中,ESC温度控制器350可以被用于冷却卡盘308。另外,热源310可以关闭,以使堆叠200保持冷却以增加蒸气的沉积。
提供解吸步骤(步骤116)。在此实施例中,有机金属部位220被加热,引起有机金属材料的解吸。所述加热可以通过加热保持着基底204的卡盘实现或通过辐射直接地加热有机金属部位220实现。在此实施例中,热源310可以使用辐射热来直接地加热有机金属部位220。ESC温度控制器350可以被用于加热卡盘308,该卡盘308加热堆叠200。图2D是经实施了解吸步骤(步骤116)后的堆叠200的剖视图。有机金属部位通过解吸已经被去除,留有已部分地蚀刻的部位224。
解吸步骤还可以使用精确控制的离子能量溅射实现,因此,有机金属化合物虽然被分离,但配体并没有从金属部位分离。
由于至少一个金属层208仅被部分地蚀刻,因此循环继续(步骤120),其返回到初始步骤(步骤108)。可以使用与上述初始步骤相同的初始步骤,或者可以改变参数。图2E是经实施了初始步骤(步骤108)后的堆叠200的剖视图。至少一个金属层208的未掩盖的表面层被暴露于离子流或离子束,并被转化到已改性的金属部位228。
提供反应步骤(步骤112)。可以使用与上述反应步骤相同的反应步骤,或者可以改变参数。图2F是经实施了反应步骤(步骤112)后的堆叠200的剖视图。已改性的金属部位已经被转变成有机金属部位232。
提供解吸步骤(步骤116)。在本实施例中,有机金属部位232被加热,引起有机金属材料的解吸。可以使用与上述反应步骤相同的解吸步骤,或者可以改变参数。图2G是经实施了解吸步骤(步骤116)后的堆叠200的剖视图。有机金属部位已经通过解吸被去除,留下已部分地蚀刻的部位224。
循环继续(步骤120)直至蚀刻工序完成。图2H是至少一个金属层208的蚀刻完成之后的堆叠200的剖视图。
本实施例提供了无等离子体的蚀刻工序。其他实施例可以在初始步骤或者解吸步骤中使用等离子体。这样的等离子体可以是从等离子源提供至蚀刻腔349的下游等离子体,或者可以是在原位生成,其中蚀刻腔349将需要前驱体气体源和等离子激发系统。在其他实施例中,O2、COS或CH3OH的离子流可以被用于实现初始步骤。在其他实施例中,可以使用由H2O2、HClO、O3、SOCl2、NH4OH、HCHO或CH3COOH生成的蒸气来实现初始步骤。在其他实施例中,可以在反应步骤期间使用催化剂以提高反应率。
图6A是在本发明的另一实施例中使用的堆叠600的剖面示意图。在此堆叠600中,层间介电层(inter layer dielectric layer,ILD)604被放置在基底(未示出)之上。底电极608形成在ILD604之上。在此实施例中,底电极608是由钽(Ta)、钛(Ti)或钨(W)形成。在其他实施例中,其他类似金属可以用于底电极608。底部的非挥发性金属(NVM)(针扎层)层612形成在底电极608之上。在此实施例中,底部的NVM层是由MnPt、CoPt、CoPd或CoFe形成。在其他实施例中,其他相似的合金可用于底部的NVM层612。氧化镁(MgO)隧道层616形成在底部的NVM层612之上。顶部的NVM层620形成在隧道层616之上。在此实施例中,顶部的NVM层620由CoFe、CoFeB、Ru、CoPt或CoPd形成。在其他实施例中,顶部的NVM层620由其他金属或者合金形成。在此实施例中,底部的NVM层612、隧道层616和顶部的NVM层620的组合形成磁性隧道结(MTJ)。图案化的硬掩膜624形成在顶部的NVM层620之上。在本实施例中,图案化的硬掩膜624是Ta、TaN、TiN或W,并用作电极。在其它实施例中,可以使用其他的电极材料。
在本实施例中,顶部的NVM层620和隧道层616使用反应离子蚀刻(RIE)和离子束蚀刻(IBE)进行蚀刻,在本实施例中蚀刻底部NVM层612的2-3nm。IBE蚀刻能够蚀刻顶部的NVM层620和隧道层616而不会形成侧壁沉积且不会损坏隧道层616。图6B是NVM层620和隧道层616经蚀刻之后的堆叠的剖面示意图。使用IBE仅蚀刻顶部的NVM层620和隧道层616降低IBE侧壁角度/深宽比极限,同时维持隧道层616完整化而不会生成沉积物。
氧化物或者氮化物间隔物形成在部分蚀刻后的堆叠600周围。图6C是间隔物628形成后的堆叠600的剖面示意图。间隔物在后续蚀刻期间密封隧道层616。间隔物厚度为大约2至5nm。RIE或IBE溅射用于打开间隔物628。图6D是间隔物628已打开后的堆叠600的剖面示意图。
如图1所示,接着对堆叠进行蚀刻工序,其中图案化的硬掩膜624、顶部的NVM层620、隧道层616和间隔物628提供用于蚀刻底部的NVM层612的图案化的掩膜。在此例中,初始步骤(步骤108)是通过提供4至80mTorr的压强进行氧化来实现的。50至500sccm的氧气(O2)、以及0至500sccm的氩气(Ar)流入蚀刻腔349。提供13MHz的200至1500TCP的功率以使气体形成为等离子体。提供20至500伏的偏压。氧化工序维持5至60秒。在一可选实施例中,初始步骤可以通过氯化实现。在这样的工序中,提供4至80mTorr的压强。使50至500sccm的氯气(Cl2)和0至500sccm的氩气(Ar)流入蚀刻腔349。提供13MHz的200至1500TCP的功率以使气体形成为等离子体。提供20至500伏的偏压。氯化工序维持5至60秒。
在此例中,溶剂化改性的金属部位的步骤(步骤504)是通过提供有机酸蒸气实现的。配体复合物形成步骤(步骤508)是通过提供配体蒸气实现的。在使用或者不使用载气的溶剂化和配体复合物形成步骤中提供20mTorr至1Torr的压强,这些步骤可以多次循环以增强反应。
在此例中,解吸步骤(步骤116)是通过提供光等离子体溅射来实现的。在一实施例中,光等离子体溅射可以通过提供4至80mTorr的腔压来实现。使50至500sccm的氩气流入蚀刻腔349。提供200至1500TCP的功率以使气体形成为等离子体。提供0至100伏的偏压。氩气可以由He、Ne或者Xe代替。优选的是,气体为纯惰性气体。在另一实施例中,解吸可以通过加热卡盘308至介于80℃至300℃之间的温度实现。工序重复直至NVM层612的蚀刻完成。图6E是NVM层612已被蚀刻后的堆叠600的示意图。
本实施例使用IBE以仅蚀刻隧道层616以及打开间隔物,从而使IBE用于较浅和较低的深宽比蚀刻。这使得IBE不会形成侧壁沉积物并且不会损坏隧道层616。部分地图案化膜堆叠对IBE侧壁角度/深宽比限度几乎没有限制,同时维持氧化镁完整化而不会累积沉积物。间隔物能够在蚀刻后续层时进一步保护氧化镁层。本实施例使用非水性溶剂以防止氧化镁层的可能的降解。本实施例使用蒸气以生成有机金属副产物,其实质上是挥发性的,不会在晶片表面留下过多的侧壁堆积物。这能够形成以高深宽比图案化的高密度MRAM。本实施例提供了具有小的关键尺寸(CD)和高深宽比的MRAM的各向异性蚀刻。通过提供一种原子级蚀刻,本实施例实现了对蚀刻工艺的更高控制。
虽然本发明已根据几个优选实施例进行了叙述,但存在有落入本发明的范围之内的变更、置换、修改和各种替代等同方案。还应当注意,存在实现本发明的方法和装置的许多替代方式。因此,后附的权利要求被解释为包括所有这些在本发明的真正主旨和范围内的变更、置换和各种替代等同方案。

Claims (10)

1.一种在一个或者多个循环内蚀刻具有至少一个金属层的堆叠的方法,其中,每个循环包括:
实施初始步骤,将所述至少一个金属层的一部分转化成金属氧化物、金属卤化物或者晶格损坏的金属部位;以及
实施提供一个或者多个循环的反应步骤,其中每个循环包括:
提供有机溶剂蒸气以形成溶剂化的金属、金属卤化物或者金属氧化物的状态;以及
提供有机配体溶剂以形成挥发性的有机金属化合物。
2.根据权利要求1所述的方法,其中,所述提供有机溶剂蒸气以形成溶剂化的金属、金属卤化物或者金属氧化物的状态和提供有机配体溶剂以形成挥发性的有机金属化合物是依次实施的。
3.一种在一个或者多个循环内蚀刻具有至少一个金属层的堆叠的方法,其中,每个循环包括:
实施初始步骤,将所述至少一个金属层的一部分转化成金属氧化物、金属卤化物或者晶格损坏的金属部位;以及
执行反应步骤包括
提供有机溶剂蒸气以形成溶剂化的金属、金属卤化物或者金属氧化物的状态;或者
提供有机配体溶剂以形成挥发性的有机金属化合物。
4.根据权利要求3所述的方法,进一步包括实施所述挥发性的有机金属化合物的解吸。
5.根据权利要求4所述的方法,其中,实施所述解吸包括加热所述有机金属化合物。
6.一种在一个或者多个循环内蚀刻具有至少一个金属层的堆叠的方法,其中,每个循环包括:
实施初始步骤,将所述至少一个金属层的一部分转化成金属氧化物、金属卤化物或者晶格损坏的金属部位;以及
执行反应步骤包括
提供有机溶剂蒸气以形成溶剂化的金属、金属卤化物或者金属氧化物的状态。
7.根据权利要求6所述的方法,还包括实施所述挥发性的有机金属化合物的解吸。
8.根据权利要求7所述的方法,其中,实施所述解吸包括加热所述有机金属化合物。
9.一种在一个或者多个循环内蚀刻具有至少一个金属层的堆叠的方法,其中,每个循环包括:
实施初始步骤,将所述至少一个金属层的一部分转化成金属氧化物、金属卤化物或者晶格损坏的金属部位;以及
执行反应步骤
供有机配体溶剂以形成挥发性的有机金属化合物。
10.根据权利要求9所述的方法,还包括实施所述挥发性的有机金属化合物的解吸。
CN201810360987.6A 2014-03-27 2015-03-27 蚀刻非挥发性金属材料的方法 Pending CN108682737A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461971032P 2014-03-27 2014-03-27
US61/971,032 2014-03-27
US14/325,911 US9130158B1 (en) 2014-03-27 2014-07-08 Method to etch non-volatile metal materials
US14/325,911 2014-07-08
CN201510140906.8A CN104953027B (zh) 2014-03-27 2015-03-27 蚀刻非挥发性金属材料的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201510140906.8A Division CN104953027B (zh) 2014-03-27 2015-03-27 蚀刻非挥发性金属材料的方法

Publications (1)

Publication Number Publication Date
CN108682737A true CN108682737A (zh) 2018-10-19

Family

ID=54012662

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510140906.8A Active CN104953027B (zh) 2014-03-27 2015-03-27 蚀刻非挥发性金属材料的方法
CN201810360987.6A Pending CN108682737A (zh) 2014-03-27 2015-03-27 蚀刻非挥发性金属材料的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201510140906.8A Active CN104953027B (zh) 2014-03-27 2015-03-27 蚀刻非挥发性金属材料的方法

Country Status (6)

Country Link
US (3) US9257638B2 (zh)
JP (2) JP6557490B2 (zh)
KR (2) KR102377668B1 (zh)
CN (2) CN104953027B (zh)
SG (2) SG10201502438RA (zh)
TW (2) TWI651773B (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240547B2 (en) 2013-09-10 2016-01-19 Micron Technology, Inc. Magnetic tunnel junctions and methods of forming magnetic tunnel junctions
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9373779B1 (en) 2014-12-08 2016-06-21 Micron Technology, Inc. Magnetic tunnel junctions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9502642B2 (en) 2015-04-10 2016-11-22 Micron Technology, Inc. Magnetic tunnel junctions, methods used while forming magnetic tunnel junctions, and methods of forming magnetic tunnel junctions
US9520553B2 (en) * 2015-04-15 2016-12-13 Micron Technology, Inc. Methods of forming a magnetic electrode of a magnetic tunnel junction and methods of forming a magnetic tunnel junction
US9530959B2 (en) * 2015-04-15 2016-12-27 Micron Technology, Inc. Magnetic tunnel junctions
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9257136B1 (en) 2015-05-05 2016-02-09 Micron Technology, Inc. Magnetic tunnel junctions
US9960346B2 (en) 2015-05-07 2018-05-01 Micron Technology, Inc. Magnetic tunnel junctions
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
CN106548936B (zh) * 2015-09-23 2022-04-22 北京北方华创微电子装备有限公司 一种金属层的刻蚀方法
KR102652512B1 (ko) 2015-11-10 2024-03-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 에칭 반응물 및 이를 사용한 플라즈마-부재 옥사이드 에칭 공정
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10230042B2 (en) 2016-03-03 2019-03-12 Toshiba Memory Corporation Magnetoresistive element and method of manufacturing the same
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9680089B1 (en) 2016-05-13 2017-06-13 Micron Technology, Inc. Magnetic tunnel junctions
US9799519B1 (en) * 2016-06-24 2017-10-24 International Business Machines Corporation Selective sputtering with light mass ions to sharpen sidewall of subtractively patterned conductive metal layer
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
KR102511914B1 (ko) 2016-08-04 2023-03-21 삼성전자주식회사 자기 기억 소자 및 이의 제조 방법
US10103196B2 (en) 2016-08-30 2018-10-16 Micron Technology, Inc. Methods of forming magnetic memory cells, and methods of forming arrays of magnetic memory cells
KR102292077B1 (ko) 2016-12-09 2021-08-23 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
KR102638610B1 (ko) 2017-01-11 2024-02-22 삼성전자주식회사 자기 메모리 장치
US10297746B2 (en) 2017-04-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Post treatment to reduce shunting devices for physical etching process
SG11201908113WA (en) 2017-04-13 2019-10-30 Basf Se Process for the etching metal- or semimetal-containing materials
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10242885B2 (en) * 2017-05-26 2019-03-26 Applied Materials, Inc. Selective dry etching of metal films comprising multiple metal oxides
US10651372B2 (en) * 2017-06-13 2020-05-12 Tokyo Electron Limited Process for patterning a magnetic tunnel junction
CN118231247A (zh) * 2017-12-14 2024-06-21 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10714681B2 (en) * 2018-10-19 2020-07-14 International Business Machines Corporation Embedded magnetic tunnel junction pillar having reduced height and uniform contact area
JP7310146B2 (ja) * 2019-01-16 2023-07-19 東京エレクトロン株式会社 ハードマスク付き半導体デバイスの製造用の基板及び半導体デバイスの製造方法
CN109801844A (zh) * 2019-02-03 2019-05-24 南通大学 一种金属刻槽方法
CN109786241B (zh) * 2019-02-03 2022-09-27 南通大学 一种微损伤减缓铝刻蚀侧腐的方法
US10971500B2 (en) * 2019-06-06 2021-04-06 Micron Technology, Inc. Methods used in the fabrication of integrated circuitry
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
CY2004010I1 (el) 2019-08-29 2009-11-04 Novartis Ag Phenyl carbamate
US11424134B2 (en) * 2019-09-19 2022-08-23 Applied Materials, Inc. Atomic layer etching of metals
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11502246B2 (en) 2020-06-04 2022-11-15 Samsung Electronics Co., Ltd. Magnetoresistive device, magnetic memory, and method of fabricating a magnetoresistive device
US11737289B2 (en) 2020-12-09 2023-08-22 International Business Machines Corporation High density ReRAM integration with interconnect
US20230420267A1 (en) * 2022-05-27 2023-12-28 Tokyo Electron Limited Oxygen-free etching of non-volatile metals

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002371359A (ja) * 2001-06-14 2002-12-26 Samsung Electronics Co Ltd β−ジケトンの配位子を有する有機金属錯体を利用した原子層蒸着方法
CN103247754A (zh) * 2012-02-03 2013-08-14 朗姆研究公司 无晶片自动调整

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0433983B1 (en) * 1989-12-20 1998-03-04 Texas Instruments Incorporated Copper etch process using halides
JPH04208526A (ja) * 1990-11-30 1992-07-30 Nisshin Hightech Kk ドライエッチング方法および装置
KR0155785B1 (ko) * 1994-12-15 1998-10-15 김광호 핀형 커패시터 및 그 제조방법
US6083413A (en) * 1995-10-19 2000-07-04 Massachusetts Institute Of Technology Metals removal process
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
JP3619745B2 (ja) * 1999-12-20 2005-02-16 株式会社日立製作所 固体表面の処理方法及び処理液並びにこれらを用いた電子デバイスの製造方法
FR2820417B1 (fr) 2001-02-08 2003-05-30 Commissariat Energie Atomique Procede de dissolution et de decontamination
AU2003253610A1 (en) * 2002-06-28 2004-01-19 Tokyo Electron Limited Anisotropic dry etching of cu-containing layers
JP2004332045A (ja) * 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
JP4534664B2 (ja) * 2004-08-24 2010-09-01 ソニー株式会社 磁気記憶装置の製造方法
JP4769002B2 (ja) * 2005-03-28 2011-09-07 株式会社アルバック エッチング方法
JP5481547B2 (ja) * 2006-08-24 2014-04-23 富士通セミコンダクター株式会社 金属付着物の除去方法、基板処理装置、および記録媒体
JP2007158361A (ja) * 2007-01-09 2007-06-21 Yamaha Corp 磁気トンネル接合素子の製法
US7948044B2 (en) * 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
JP2010010175A (ja) * 2008-06-24 2010-01-14 Konica Minolta Holdings Inc 薄膜トランジスタおよび薄膜トランジスタの製造方法
US8043732B2 (en) * 2008-11-11 2011-10-25 Seagate Technology Llc Memory cell with radial barrier
US8981502B2 (en) * 2010-03-29 2015-03-17 Qualcomm Incorporated Fabricating a magnetic tunnel junction storage element
JP2012038815A (ja) * 2010-08-04 2012-02-23 Toshiba Corp 磁気抵抗素子の製造方法
WO2012031194A2 (en) * 2010-09-03 2012-03-08 Georgia Tech Research Corporation Compositions and methods for the separation of metals
KR101850510B1 (ko) * 2011-03-22 2018-04-20 삼성디스플레이 주식회사 산화물 반도체의 전구체 조성물 및 이를 이용한 박막 트랜지스터 표시판의 제조 방법
US8546263B2 (en) * 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
JP2013016587A (ja) * 2011-07-01 2013-01-24 Toshiba Corp 磁気抵抗効果素子及びその製造方法
US20130270227A1 (en) * 2012-04-13 2013-10-17 Lam Research Corporation Layer-layer etch of non volatile materials
US9129690B2 (en) * 2012-07-20 2015-09-08 Samsung Electronics Co., Ltd. Method and system for providing magnetic junctions having improved characteristics
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002371359A (ja) * 2001-06-14 2002-12-26 Samsung Electronics Co Ltd β−ジケトンの配位子を有する有機金属錯体を利用した原子層蒸着方法
CN103247754A (zh) * 2012-02-03 2013-08-14 朗姆研究公司 无晶片自动调整

Also Published As

Publication number Publication date
KR20150112896A (ko) 2015-10-07
JP6789614B2 (ja) 2020-11-25
SG10201502438RA (en) 2015-10-29
KR102377668B1 (ko) 2022-03-22
TW201608748A (zh) 2016-03-01
US9130158B1 (en) 2015-09-08
TW201603135A (zh) 2016-01-16
US9257638B2 (en) 2016-02-09
US9391267B2 (en) 2016-07-12
JP2015216360A (ja) 2015-12-03
KR102318520B1 (ko) 2021-10-28
SG10201502437TA (en) 2015-10-29
CN104953027B (zh) 2018-05-22
TWI651773B (zh) 2019-02-21
US20150280113A1 (en) 2015-10-01
TWI650886B (zh) 2019-02-11
US20150340603A1 (en) 2015-11-26
JP6557490B2 (ja) 2019-08-07
CN104953027A (zh) 2015-09-30
US20150280114A1 (en) 2015-10-01
JP2015192150A (ja) 2015-11-02
KR20150112757A (ko) 2015-10-07

Similar Documents

Publication Publication Date Title
CN104953027B (zh) 蚀刻非挥发性金属材料的方法
TWI579914B (zh) 利用電漿進行非揮發性物質之分層蝕刻
TWI576912B (zh) 減少蝕刻腔室內污染的方法
JP5740281B2 (ja) 金属膜のドライエッチング方法
US9257300B2 (en) Fluorocarbon based aspect-ratio independent etching
CN107123733A (zh) 利用低温晶片温度的离子束蚀刻
JPWO2013175897A1 (ja) 基板処理装置及び基板処理方法
KR102152088B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
CN107919264A (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
TW201442108A (zh) 在原處之金屬殘餘物清潔
Arts et al. Foundations of atomic-level plasma processing in nanoelectronics
TW201628083A (zh) 銅阻障膜之蝕刻方法
US20130270227A1 (en) Layer-layer etch of non volatile materials
CN105321816A (zh) 蚀刻含钨层的方法
US20220254649A1 (en) Atomic layer etch and ion beam etch patterning
CN104953026B (zh) 蚀刻非挥发性金属材料的方法
US20230298869A1 (en) Subtractive copper etch
US20240021435A1 (en) Metal etch
KR101394651B1 (ko) MgO 박막의 건식 식각 방법
CN110520964A (zh) 用于半导体处理的基于硅的沉积

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20181019

WD01 Invention patent application deemed withdrawn after publication