SG10201502437TA - Method to etch non-volatile metal materials - Google Patents

Method to etch non-volatile metal materials

Info

Publication number
SG10201502437TA
SG10201502437TA SG10201502437TA SG10201502437TA SG10201502437TA SG 10201502437T A SG10201502437T A SG 10201502437TA SG 10201502437T A SG10201502437T A SG 10201502437TA SG 10201502437T A SG10201502437T A SG 10201502437TA SG 10201502437T A SG10201502437T A SG 10201502437TA
Authority
SG
Singapore
Prior art keywords
metal materials
volatile metal
etch non
etch
volatile
Prior art date
Application number
SG10201502437TA
Inventor
Meihua Shen
Harmeet Singh
Samantha S H Tan
Jeffrey Marks
Thorsten Lill
Richard P Janek
Wenbing Yang
Prithu Sharma
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG10201502437TA publication Critical patent/SG10201502437TA/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/08Apparatus, e.g. for photomechanical printing surfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
SG10201502437TA 2014-03-27 2015-03-27 Method to etch non-volatile metal materials SG10201502437TA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461971032P 2014-03-27 2014-03-27
US14/325,911 US9130158B1 (en) 2014-03-27 2014-07-08 Method to etch non-volatile metal materials

Publications (1)

Publication Number Publication Date
SG10201502437TA true SG10201502437TA (en) 2015-10-29

Family

ID=54012662

Family Applications (2)

Application Number Title Priority Date Filing Date
SG10201502438RA SG10201502438RA (en) 2014-03-27 2015-03-27 Method to etch non-volatile metal materials
SG10201502437TA SG10201502437TA (en) 2014-03-27 2015-03-27 Method to etch non-volatile metal materials

Family Applications Before (1)

Application Number Title Priority Date Filing Date
SG10201502438RA SG10201502438RA (en) 2014-03-27 2015-03-27 Method to etch non-volatile metal materials

Country Status (6)

Country Link
US (3) US9257638B2 (en)
JP (2) JP6789614B2 (en)
KR (2) KR102377668B1 (en)
CN (2) CN108682737A (en)
SG (2) SG10201502438RA (en)
TW (2) TWI651773B (en)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240547B2 (en) 2013-09-10 2016-01-19 Micron Technology, Inc. Magnetic tunnel junctions and methods of forming magnetic tunnel junctions
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9373779B1 (en) 2014-12-08 2016-06-21 Micron Technology, Inc. Magnetic tunnel junctions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9502642B2 (en) 2015-04-10 2016-11-22 Micron Technology, Inc. Magnetic tunnel junctions, methods used while forming magnetic tunnel junctions, and methods of forming magnetic tunnel junctions
US9520553B2 (en) * 2015-04-15 2016-12-13 Micron Technology, Inc. Methods of forming a magnetic electrode of a magnetic tunnel junction and methods of forming a magnetic tunnel junction
US9530959B2 (en) * 2015-04-15 2016-12-27 Micron Technology, Inc. Magnetic tunnel junctions
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9257136B1 (en) 2015-05-05 2016-02-09 Micron Technology, Inc. Magnetic tunnel junctions
US9960346B2 (en) 2015-05-07 2018-05-01 Micron Technology, Inc. Magnetic tunnel junctions
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
CN106548936B (en) * 2015-09-23 2022-04-22 北京北方华创微电子装备有限公司 Method for etching metal layer
EP3375008B1 (en) 2015-11-10 2020-05-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Plasma-free etching process
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10230042B2 (en) 2016-03-03 2019-03-12 Toshiba Memory Corporation Magnetoresistive element and method of manufacturing the same
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9680089B1 (en) 2016-05-13 2017-06-13 Micron Technology, Inc. Magnetic tunnel junctions
US9799519B1 (en) * 2016-06-24 2017-10-24 International Business Machines Corporation Selective sputtering with light mass ions to sharpen sidewall of subtractively patterned conductive metal layer
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
KR102511914B1 (en) 2016-08-04 2023-03-21 삼성전자주식회사 Magnetic memory device and method for manufacturing the same
US10103196B2 (en) 2016-08-30 2018-10-16 Micron Technology, Inc. Methods of forming magnetic memory cells, and methods of forming arrays of magnetic memory cells
JP7062658B2 (en) 2016-12-09 2022-05-06 エーエスエム アイピー ホールディング ビー.ブイ. Thermal layer etching process
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
KR102638610B1 (en) 2017-01-11 2024-02-22 삼성전자주식회사 Magnetic memory device
US10297746B2 (en) 2017-04-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Post treatment to reduce shunting devices for physical etching process
CN110494594A (en) 2017-04-13 2019-11-22 巴斯夫欧洲公司 The method containing metal or semimetallic material of etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10242885B2 (en) * 2017-05-26 2019-03-26 Applied Materials, Inc. Selective dry etching of metal films comprising multiple metal oxides
WO2018231695A1 (en) * 2017-06-13 2018-12-20 Tokyo Electron Limited Process for patterning a magnetic tunnel junction
US10622221B2 (en) * 2017-12-14 2020-04-14 Applied Materials, Inc. Methods of etching metal oxides with less etch residue
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10714681B2 (en) * 2018-10-19 2020-07-14 International Business Machines Corporation Embedded magnetic tunnel junction pillar having reduced height and uniform contact area
JP7310146B2 (en) * 2019-01-16 2023-07-19 東京エレクトロン株式会社 Substrate for manufacturing semiconductor device with hard mask and method for manufacturing semiconductor device
CN109801844A (en) * 2019-02-03 2019-05-24 南通大学 A kind of metal cutting method
CN109786241B (en) * 2019-02-03 2022-09-27 南通大学 Method for retarding aluminum etching side corrosion by micro-damage
US10971500B2 (en) * 2019-06-06 2021-04-06 Micron Technology, Inc. Methods used in the fabrication of integrated circuitry
JP2021019201A (en) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. Showerhead device for semiconductor processing system
CY2004010I1 (en) 2019-08-29 2009-11-04 Novartis Ag PHENYL CARBAMATE
US11424134B2 (en) * 2019-09-19 2022-08-23 Applied Materials, Inc. Atomic layer etching of metals
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11502246B2 (en) 2020-06-04 2022-11-15 Samsung Electronics Co., Ltd. Magnetoresistive device, magnetic memory, and method of fabricating a magnetoresistive device
US11737289B2 (en) 2020-12-09 2023-08-22 International Business Machines Corporation High density ReRAM integration with interconnect
US20230420267A1 (en) * 2022-05-27 2023-12-28 Tokyo Electron Limited Oxygen-free etching of non-volatile metals

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0433983B1 (en) * 1989-12-20 1998-03-04 Texas Instruments Incorporated Copper etch process using halides
JPH04208526A (en) * 1990-11-30 1992-07-30 Nisshin Hightech Kk Dry etching method and device
KR0155785B1 (en) * 1994-12-15 1998-10-15 김광호 Fin capacitor & its fabrication method
JPH11513846A (en) * 1995-10-19 1999-11-24 マサチューセッツ インスティテュート オブ テクノロジー Metal removal method
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
JP3619745B2 (en) * 1999-12-20 2005-02-16 株式会社日立製作所 Solid surface treatment method and treatment liquid, and electronic device manufacturing method using the same
FR2820417B1 (en) 2001-02-08 2003-05-30 Commissariat Energie Atomique DISSOLUTION AND DECONTAMINATION PROCESS
KR100421219B1 (en) * 2001-06-14 2004-03-02 삼성전자주식회사 Method for depositing atomic layer using organometallic complex having β-diketone ligand
US7214327B2 (en) * 2002-06-28 2007-05-08 Tokyo Electron Limited Anisotropic dry etching of Cu-containing layers
JP2004332045A (en) * 2003-05-07 2004-11-25 Renesas Technology Corp Method for dry-etching multilayered film material
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
JP4534664B2 (en) * 2004-08-24 2010-09-01 ソニー株式会社 Method for manufacturing magnetic storage device
JP4769002B2 (en) * 2005-03-28 2011-09-07 株式会社アルバック Etching method
JP5481547B2 (en) * 2006-08-24 2014-04-23 富士通セミコンダクター株式会社 Method for removing metal deposit, substrate processing apparatus, and recording medium
JP2007158361A (en) * 2007-01-09 2007-06-21 Yamaha Corp Method of producing magnetic tunnel junction element
US7948044B2 (en) * 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
JP2010010175A (en) * 2008-06-24 2010-01-14 Konica Minolta Holdings Inc Thin film transistor, and method of manufacturing thin film transistor
US8043732B2 (en) * 2008-11-11 2011-10-25 Seagate Technology Llc Memory cell with radial barrier
US8981502B2 (en) * 2010-03-29 2015-03-17 Qualcomm Incorporated Fabricating a magnetic tunnel junction storage element
JP2012038815A (en) * 2010-08-04 2012-02-23 Toshiba Corp Manufacturing method of magnetoresistive element
US20140147353A1 (en) * 2010-09-03 2014-05-29 Georgia Tech Research Corporation Compositions and methods for the separation of metals
KR101850510B1 (en) * 2011-03-22 2018-04-20 삼성디스플레이 주식회사 precursor composition for oxide semiconductor and manufacturing method of thin film transistor array panel using the same
US8546263B2 (en) * 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
JP2013016587A (en) * 2011-07-01 2013-01-24 Toshiba Corp Magnetoresistive effect element and manufacturing method therefor
US8784676B2 (en) * 2012-02-03 2014-07-22 Lam Research Corporation Waferless auto conditioning
US20130270227A1 (en) * 2012-04-13 2013-10-17 Lam Research Corporation Layer-layer etch of non volatile materials
US9129690B2 (en) * 2012-07-20 2015-09-08 Samsung Electronics Co., Ltd. Method and system for providing magnetic junctions having improved characteristics
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials

Also Published As

Publication number Publication date
TWI650886B (en) 2019-02-11
TWI651773B (en) 2019-02-21
US20150340603A1 (en) 2015-11-26
KR102377668B1 (en) 2022-03-22
KR20150112896A (en) 2015-10-07
SG10201502438RA (en) 2015-10-29
CN104953027B (en) 2018-05-22
US20150280114A1 (en) 2015-10-01
JP6789614B2 (en) 2020-11-25
JP2015192150A (en) 2015-11-02
KR102318520B1 (en) 2021-10-28
KR20150112757A (en) 2015-10-07
US9391267B2 (en) 2016-07-12
US9130158B1 (en) 2015-09-08
CN104953027A (en) 2015-09-30
US20150280113A1 (en) 2015-10-01
JP2015216360A (en) 2015-12-03
TW201608748A (en) 2016-03-01
JP6557490B2 (en) 2019-08-07
TW201603135A (en) 2016-01-16
CN108682737A (en) 2018-10-19
US9257638B2 (en) 2016-02-09

Similar Documents

Publication Publication Date Title
SG10201502438RA (en) Method to etch non-volatile metal materials
GB201406151D0 (en) Method
GB201409558D0 (en) Method
GB201406155D0 (en) Method
GB201408119D0 (en) Method
GB201413240D0 (en) Method
HUE052446T2 (en) Antifungal compound process
GB201403470D0 (en) Method
GB201410022D0 (en) Method
GB2522663B (en) A method of selecting a region of interest
GB201410101D0 (en) Method
GB201412802D0 (en) Method
GB201411500D0 (en) Method
GB201409128D0 (en) Method
GB201412736D0 (en) Method
GB201412226D0 (en) Method
GB201409234D0 (en) Method
GB201409101D0 (en) Method
SG11201605798WA (en) Demolishing method
GB201413612D0 (en) Method
GB201408649D0 (en) Method
GB201418258D0 (en) Manufacture method
GB201420491D0 (en) Improved tool
AU2014900130A0 (en) Metal Recovery Process
GB201412356D0 (en) Method