TWI538049B - 在布氏蝕刻製程後獲得平滑之側壁之方法 - Google Patents

在布氏蝕刻製程後獲得平滑之側壁之方法 Download PDF

Info

Publication number
TWI538049B
TWI538049B TW101116682A TW101116682A TWI538049B TW I538049 B TWI538049 B TW I538049B TW 101116682 A TW101116682 A TW 101116682A TW 101116682 A TW101116682 A TW 101116682A TW I538049 B TWI538049 B TW I538049B
Authority
TW
Taiwan
Prior art keywords
plasma processing
processing chamber
etching
bias
pressure
Prior art date
Application number
TW101116682A
Other languages
English (en)
Other versions
TW201310525A (zh
Inventor
傑洛斯羅W 威尼柴克
法蘭克Y 林
艾倫J 米勒
許青
許城俊
咸真煥
尹尚峻
可密里亞 盧素
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201310525A publication Critical patent/TW201310525A/zh
Application granted granted Critical
Publication of TWI538049B publication Critical patent/TWI538049B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

在布氏蝕刻製程後獲得平滑之側壁之方法
本發明係關於一種布氏蝕刻製程之方法,更具體而言係關於一種在布氏蝕刻製程後獲得平滑之側壁之方法。
高深寬比的矽蝕刻為目前用來製造微結構裝置的主要技術之一,也是許多微機電系統(MEMS)應用的致能技術。傳統所用的單晶矽高深寬比蝕刻製程係基於循環式的電漿蝕刻/聚合物沈積方法,此方法亦被為快速交替參數(RAP)製程或布氏製程。
圖1A-F顯示了在布氏製程中蝕刻矽的傳統方法。
圖1A顯示了傳統布氏蝕刻製程中的第一步驟。
如圖中所示,矽層100具有上表面102,上表面102上有光阻遮罩104。光阻遮罩104包含窗口106,窗口106中一部分的表面102受到裸露。
將具有光阻遮罩104的矽層100置於標準的矽蝕刻室中開始蝕刻製程。
圖1B顯示了傳統布氏蝕刻製程中的第二步驟。
如圖中所示,蝕刻經由窗口106裸露之部分矽層100以產生通孔108。通孔108包含側壁110與底表面112。
藉著在蝕刻室中生成蝕刻氣體電漿來產生通孔108。蝕刻矽所用的氣體實例為SF6,但可使用其他氣體。藉由下列方式來控制蝕刻深度:在特定壓力下導入特定流量的氣體一段特定的時間,此時矽層100係處於特定電壓或偏壓,且提供RF以形成蝕刻電漿。氣體以等向方式移除矽。等向係指在所有方向上都是均勻的。如此,蝕刻製程在所有方向上均等地移除矽。在三度空間中,等向移除的結構為球形的孔洞。在圖中此結果係以二度空間中通孔108的側壁110與底表面112的圓形來表示。
圖1C顯示了傳統布氏蝕刻製程中的第三步驟。
如圖中所示,保護層116係置於光阻遮罩104的上表面114以及通孔108的側壁110與底表面112上。
保護層116可包含一聚合物,此聚合物可減少相較於垂直蝕刻的橫向蝕刻。因此,在整個製程期間通孔108的寬度不會增加。雖然可使用其他材料,但保護層用的材料的一非限制性實例為C4F8
圖1D顯示了傳統布氏蝕刻製程中的第四步驟。
如圖中所示,大部分的保護層116已經被移除,以留下在通孔108之側壁110上的保護表面118。保護表面118不會覆蓋底表面112。如此,底表面112會經由保護表面118的窗口120受到裸露。
為了持續地垂直蝕刻進入矽層100而不橫向蝕刻,必須要自底表面112清除保護層116並同時使保護表面118持續留在在側壁110上。在沒有保護表面118的情況下,額外的蝕刻步驟會因為蝕刻製程的等向本質而增加通孔108的寬度。利用已知的方法如傳統布氏製程的一部分,可自底表面112移除保護層116。
圖1E顯示了傳統布氏蝕刻製程中的第五步驟。
如圖中所示,矽層100已被蝕刻第二次以產生具有側壁110與122及底表面124的通孔126。
由於蝕刻製程的等向本質,第二次蝕刻製程會移除保護表面118且亦產生通孔126。因為蝕刻氣體因保護表面118而不會接觸側壁110,故產生通孔126不會增加側壁110的尺寸。
圖1F顯示了在傳統布氏蝕刻製程中進行複數循環後所製得的最終通孔。
如圖中所示,矽層100被蝕刻複數次以產生通孔128。蝕刻與沈積製程以交替方式持續進行,直到產生具有期望深度的通孔。
蝕刻製程的等向本質傾向於產生基本上半球形的通孔,因為蝕刻氣體不具有方向性的成分且均等地攻擊所有表面。結果,每一蝕刻皆底切(undercut)前次蝕刻,使得完成的通孔的側壁具有 包含了峰部與谷部的波浪本質。峰部與谷部的集合被稱為扇形溝槽(扇形溝槽)。取決於製程參數,可改變扇形溝槽的深度與寬度。此後將參考圖2-3而詳加說明。
圖2顯示圖1E之側壁110與122的放大圖。
如圖2中所示,側壁110與122形成包含了峰部204與206的扇形溝槽202。扇形溝槽202具有寬度W(峰部204或206與側壁110間的最長水平距離)。扇形溝槽202亦具有深度D(峰部204與206間的垂直距離)。寬度W與深度D為產生通孔時所用之製程參數所控制的變數。例如,包含了特定參數進行特定時間的一製程會產生特定尺寸的複數扇形溝槽。當所有其他參數維持不變時,增加暴露的時間會導致較大的扇形溝槽。
若進行較不強烈的蝕刻製程,則會減少扇形溝槽之峰部與谷部間的相對差異。然而,為了達到相同深度,會形成遠遠較多的扇形溝槽。每次形成額外扇形溝槽便要重覆蝕刻製程。這將會參考圖3而說明之。
圖3顯示藉由利用了不同蝕刻參數之傳統布氏製程來蝕刻通孔所產生之側壁的另一實例。
如圖中所示,側壁302包含複數峰部,例如峰部306與308。扇形溝槽310具有寬度w(峰部306或308與側壁302間的最長水平距離)。扇形溝槽310亦具有深度d(峰部306與308間的垂直距離)。
當進行較強烈的蝕刻製程時,可利用單一蝕刻步驟相對快速地產生具有期望深度的通孔。進行此強烈蝕刻的缺點是,由於蝕刻製程的等向本質,在此製程中所產生扇形溝槽會非常大。
相反地,當進行較不強烈的蝕刻製程時,會需要較多次的蝕刻才能產生具有期望深度的通孔。總製程時間的增加是在短時間內進行多次蝕刻步驟的結果。進行較不強烈的蝕刻製程的優點是,所產生的每一扇形溝槽相對較小,但必須要遠遠更多的扇形溝槽才能產生具有相同深度的通孔。
對於最佳的半導體效能而言,最好是完全地移除在蝕刻製 程中所產生的複數扇形溝槽,得到具有平滑側壁的通孔。藉著修改製程參數有許多方法可以減少扇形溝槽的輪廓,但還沒有一種已知的方法能夠自通孔的側壁完全地消除扇形溝槽。
美國專利6,846,746(Ratner等人)提供了一種在蝕刻應用中減少扇形溝槽的方法,但無法將其完全消除。扇形溝槽的減少是利用氧化扇形溝槽的峰部,然後進行適合移除氧化矽的蝕刻。所揭露的主要氣體為CF4與O2,但此專利並未揭露利用SF6的非氧化性製程,只簡單地提及NF3。利用SF6與NF3之處理的參數極不同。在1-30 mtorr的壓力下,針對含氟氣體所揭露的流量範圍為2-50 sccm並結合2-200 sccm的He流量。又,Ratner等人所揭露的製程在製程室中使用10-40V的偏壓。此偏壓會導引氟離子與扇形溝槽的側壁進行化學反應。化學反應需要許多時間並在槽溝內產生非所欲的底切。
美國公開案2009/0272717 A1(Pamarthy等人)亦提供了一種在蝕刻應用中減少扇形溝槽的方法,但無法將其完全消除。扇形溝槽的減少是藉由嘗試克服扇形溝槽的形成而使氣體快速切換的手法所達成,然而所揭露的開/閉時間係大於1秒。此外,未使用的氣體會被棄至排放流,因此浪費了約一半的氣體,這是一般不願見到的。又,所建議的方法會導致具有1.5微米的典型扇形溝槽。
上述討論的扇形溝槽是非所欲的,然而沒有任何傳統的蝕刻製程能完全消除扇形溝槽。
所需要的是一種較佳的蝕刻製程,其不會產生具有扇形溝槽的通孔。此製程方法必須要能維持通孔的整體性,即通孔的尺寸必不能增加。
本發明提供一種較佳的方法,其能消除利用傳統蝕刻製程如布氏製程所產生之通孔之側壁上的扇形溝槽。
根據本發明的一態樣,提供一種在電漿製程室中蝕刻矽的方法,此製程室具有操作壓力與操作偏壓。此方法包含:在矽中進行第一垂直蝕刻以產生具有第一深度與側壁的孔洞;在該側壁上進行保護層的沈積;進行第二垂直蝕刻以將該孔洞加深至第二深度並產生第二側壁,該第二側壁包含第一谷部、第二谷部與一峰部,該第一谷部係對應至該第一側壁,該第二谷部係對應至該第二側壁,該峰部係位於該第一谷部與該第二谷部之間;及進行第三蝕刻以減少該峰部。
在下面敘述的一部分中提供了本發明的額外優點與新穎特徵,熟知此項技藝者在閱讀下面敘述時當可明白之,或者可藉由施行本發明而習得。藉由隨附申請專利範圍尤其指出的手段與結合可實現與獲得本發明的優點。
由於蝕刻與沈積的交替製程,扇形溝槽的裸露表面不再是純矽,而是包含了矽、氟、碳與硫等蝕刻製程的副產物。下面將參考圖4更詳細說明。
圖4顯示了圖1E之側壁122與110的放大圖。
如圖4中所示,在傳統矽蝕刻製程中產生材料400。材料400在峰部204與206處最厚而在側壁110與122的底部處最薄。
圖5顯示了在傳統矽蝕刻製程的複數步驟後在矽中所產生的通孔。
如圖中所示,材料400係位於通孔128與矽層100之間。材料400在邊界層500處與矽層100接觸。
對於最佳的半導體效能而言,應完全去除在蝕刻製程中所產生的材料400以及複數扇形溝槽,在邊界層500處留下純矽的平滑側壁。
根據本發明的態樣,使用一製程後步驟來去除在蝕刻製程中所產生的扇形溝槽,因此留下具有平滑側壁的通孔。這將會參考圖6更進一步地說明。
圖6顯示了在傳統矽蝕刻製程的複數步驟後又進行了根據本發明態樣之製程後步驟,在矽中所產生的通孔。
如圖中所示,已在矽層100中產生了通孔600。通孔600包含平滑側壁602與底表面604。
平滑側壁602是在結合了製程後步驟消除蝕刻製程中所產生之扇形溝槽的傳統蝕刻製程之後所產生的。
在製程後步驟中導入另一氣體,此氣體具有能選擇性地自通孔的側壁移除扇形溝槽但無損剩下的矽。此氣體非限制性的實例包含NF3、CF4、SF6、Ar、He、O2、N2及其組合。在一較佳的實施例中,為了自直徑3至10微米且深40至50微米的通孔移除扇形溝槽,將100至500 sccm的CF4及300至1000 sccm的NF3的氣體流導入製程室中15至180秒。
將氣體導入製程室時的壓力應要均衡俾使通孔頂部處之扇形溝槽的移除速率等於通孔底部處之扇形溝槽的移除速率。利用所揭露之製程後步驟移除扇形溝槽時的壓力範圍為15-100 mtorr。在一較佳實施例中,為了自直徑5微米且深60微米的通孔移除扇形溝槽,發現最有效的壓力範圍為40至80 mtorr。使用高於100 mtorr的壓力可能會導致通孔輪廓產生不欲之形變。
製程室內的操作偏壓具有將氣體離子引導至扇形溝槽之峰部以較佳地移除峰部而無損谷部的功能,因此不會增加通孔的直徑。可最有效地移除扇形溝槽的操作偏壓為200-1000V。在一較佳實施例中,為了自直徑5微米且深60微米的通孔移除扇形溝槽,發現最有效的操作偏壓為700伏特。使用高於1000V的偏壓可能會導致光阻遮罩被不欲地快速移除,這是非吾人所樂見的,因為矽的上層便會失去保護且可能受到損傷。
對照於Ratner等人所討論的方法,上述根據本發明態樣的方法使用遠遠較高的偏壓。根據本發明之電漿製程室的200-1000V偏壓會引發離子轟擊而移除扇形溝槽。相較於上面討論之Ratner等人所用的化學反應,此離子轟擊以遠遠較短的時間便移除扇形 溝槽。又,對照於上面討論之Ratner等人所使用的化學反應,本發明的離子轟擊戲劇性地減少了通孔的底切。
總結一較佳實施例,在40至80 mtorr的壓力、200至1000V的操作偏壓及1.0至3.5 kW的變壓器耦合電漿(TCP功率)下利用100至500 sccm的CF4與300至1000 sccm的NF3自直徑3至10微米且深40至150微米的通孔移除扇形溝槽70秒。
在某些情況下,在蝕刻完成後但在上述的製程後步驟之前,可能必須要進行一中間步驟以移除扇形溝槽。蝕刻製程通常在側壁上留下一些沈積物,即便是在最終蝕刻完成後亦如此。當沈積物覆蓋扇形溝槽之谷部但未覆蓋峰部時,毋需進行中間步驟,便可以上面討論的製程後步驟來移除峰部。剩餘的沈積物在扇形溝槽的移除期間可實際上具有保護層的功用,避免矽頂部上的保護薄膜如氧化物、低介電常數之氧化物及氮化物受到損傷。
若沈積物部分地或完全地覆蓋扇形溝槽的峰部,可能需要中間步驟。中間步驟可包含使用O2電漿或O2與CF4的組合以移除沈積物。中間步驟的非限制性實例為:5-100 mtorr的壓力、500-3000W的TCP功率、50-300V的操作偏壓、200-1000 sccm的O2氣體流及0-10%之CF4的額外氣體流。
在其他情況下,可能會沒有足以保護矽頂部上之保護薄膜的沈積物。在此些情況下,可能必須要增加現存的沈積層以保護此些薄膜,因為若此些薄膜未受到保護,其會受到NF3與CF4中的氟的攻擊。增加沈積層可包含集中在通孔之頂部處的氟碳化合物層。這可藉著使用高操作壓力所獲得,非限制性的實例包含:40-200 mtorr、低操作偏壓如0-100V、500-3000W的TCP功率範圍、100-1200 sccm的氣體流。所用之氣體的非限制性實例包含:氟碳聚合物尤其是C4F8與SF6,其中SF6氣體流為氟碳氣體流的0-15%。除了C4F8外,可使用其他聚合氣體如C4F6
在某些情況下尤其是在氧化性沈積清理步驟後,矽將會被氧化使得吾人必須要進行選擇性的氧化矽移除。這將需要將其他氟碳化合物添加至CF4或利用此些氟碳化合物來取代CF4。其他的 氟碳化合物可以是CHF3、CH2F2、C2F6、C2F4H2及其組合。氟碳化合物的氣體流係類似於CF4的氣體流100至500 sccm。此外,如上述清理或部分清理過多的聚合物,故意地氧化扇形溝槽的峰部可能是有利的。利用此些氟碳化合物而非只利用CF4能更有效地移除部分氧化的扇形溝槽。
為了說明及描述的目的,已提供前面本發明各種較佳實施例的敘述。旨不在徹底地敘述本發明或將本發明限制至所揭露的確切形式,很清楚地,可對本發明進行許多修改與變化。本發明人選定並敘述前述之例示性實施例以最佳地解釋本發明的原理與其實際應用,藉此讓熟知此項技藝者能最佳地以各種實施例來使用本發明並對本發明進行各種適合特定用途所用的修改。因此本發明的範圍應以隨附之申請專利範圍所定義。
100‧‧‧矽層
102‧‧‧上表面
104‧‧‧光阻遮罩
106‧‧‧窗口
108‧‧‧通孔
110‧‧‧側壁
112‧‧‧底表面
114‧‧‧上表面
116‧‧‧保護層
118‧‧‧保護表面
120‧‧‧窗口
122‧‧‧側壁
124‧‧‧底表面
126‧‧‧通孔
128‧‧‧通孔
202‧‧‧扇形溝槽
204‧‧‧峰部
206‧‧‧峰部
302‧‧‧側壁
306‧‧‧峰部
308‧‧‧峰部
310‧‧‧扇形溝槽
400‧‧‧材料
500‧‧‧邊界層
600‧‧‧通孔
602‧‧‧平滑側壁
604‧‧‧底表面
被包含於說明書中並形成說明書之一部分的附圖顯示了本發明的例示性實施例,附圖與說明一起解釋了本發明的原理。在附圖中:圖1A顯示了傳統布氏蝕刻製程的第一步驟;圖1B顯示了傳統布氏蝕刻製程的第二步驟;圖1C顯示了傳統布氏蝕刻製程的第三步驟;圖1D顯示了傳統布氏蝕刻製程的第四步驟;圖1E顯示了傳統布氏蝕刻製程的第五步驟;圖1F顯示了在傳統布氏蝕刻製程中複數循環所產生的最終通孔;圖2顯示了圖1E之側壁的放大圖;圖3顯示了使用不同蝕刻參數之傳統布氏製程蝕刻通孔後所產生之通孔的側壁的另一實例;圖4顯示了圖1E之側壁的放大圖;圖5顯示了在傳統矽蝕刻製程的複數步驟後在矽中所產生的通孔; 圖6顯示了在傳統矽蝕刻製程的複數步驟後又進行了根據本發明態樣之製程後步驟,在矽中所產生的通孔。
100‧‧‧矽層
600‧‧‧通孔
602‧‧‧平滑側壁
604‧‧‧底表面

Claims (19)

  1. 一種在電漿製程室中蝕刻矽的方法,此方法包含下列步驟:在第一壓力與第一偏壓下操作該電漿製程室以在該矽中進行第一垂直蝕刻以產生具有第一深度與側壁的孔洞;在第二壓力與第二偏壓下操作該電漿製程室以在該側壁上進行保護層的沈積;在第三壓力與第三偏壓下操作該電漿製程室以進行第二垂直蝕刻以將該孔洞加深至第二深度並產生第二側壁,該第二側壁包含第一谷部、第二谷部與一峰部,該第一谷部係對應至該第一側壁,該第二谷部係對應至該第二側壁,該峰部係位於該第一谷部與該第二谷部之間;及在第四壓力與第四偏壓下操作該電漿製程室以進行第三蝕刻以減少該峰部,其中該第四偏壓足以引發離子轟擊以消除該峰部。
  2. 如申請專利範圍第1項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在15至100mtorr的操作壓力範圍下操作該電漿製程室。
  3. 如申請專利範圍第2項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在200至1000V的操作偏壓範圍下操作該電漿製程室。
  4. 如申請專利範圍第3項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻30-180秒。
  5. 如申請專利範圍第4項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在該第四壓力與該第四偏壓下操作該電漿製程室以利用NF3、CF4、SF6與其組合中的一者來進行該第三蝕刻。
  6. 如申請專利範圍第3項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在該第四壓力與該第四偏壓下操作該電漿製程室以利用NF3、CF4、SF6與其組合中的一者來進行該第三蝕刻。
  7. 如申請專利範圍第2項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻30-180秒。
  8. 如申請專利範圍第7項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在該第四壓力與該第四偏壓下操作該電漿製程室以利用NF3、CF4、SF6與其組合中的一者來進行該第三蝕刻。
  9. 如申請專利範圍第2項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在該第四壓力與該第四偏壓下操作該電漿製程室以利用NF3、CF4、SF6與其組合中的一者來進行該第三蝕刻。
  10. 如申請專利範圍第1項之在電漿製程室中蝕刻矽的方法,其中 在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在200至1000V的操作偏壓下操作該電漿製程室。
  11. 如申請專利範圍第10項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在該第四壓力與該第四偏壓下操作該電漿製程室以利用NF3、CF4、SF6與其組合中的一者來進行該第三蝕刻。
  12. 如申請專利範圍第1項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻15-180秒,且其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在該第四壓力與該第四偏壓下操作該電漿製程室以利用NF3、CF4、SF6與其組合中的一者來進行該第三蝕刻。
  13. 如申請專利範圍第1項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在該第四壓力與該第四偏壓下操作該電漿製程室以利用NF3、CF4、SF6與其組合中的一者來進行該第三蝕刻。
  14. 如申請專利範圍第1項之在電漿製程室中蝕刻矽的方法,其中在該第四壓力與該第四偏壓下操作該電漿製程室以進行該第三蝕刻以減少該峰部的步驟包含在40 to 80mtorr的操作壓力範圍下操作該電漿製程室。
  15. 如申請專利範圍第1項之在電漿製程室中蝕刻矽的方法,其中 該偏壓在將氣體離子引導至該峰部以較佳地移除該峰部的同時維持所蝕刻的該孔洞之直徑。
  16. 一種在電漿製程室中蝕刻矽的方法,此方法包含下列步驟:操作該電漿製程室以在該矽中進行第一垂直蝕刻以產生具有第一深度與側壁的孔洞;操作該電漿製程室以在該側壁上進行保護層的沈積;操作該電漿製程室以進行第二垂直蝕刻以將該孔洞加深至第二深度並產生第二側壁,該第二側壁包含第一谷部、第二谷部與一峰部,該第一谷部係對應至該第一側壁,該第二谷部係對應至該第二側壁,該峰部係位於該第一谷部與該第二谷部之間;提供CF4與由CHF3、CH2F2、C2F6、C2F4H2與其組合所構成之族群中的至少一者的混合物;及操作該電漿製程室以進行第三蝕刻以消除該峰部。
  17. 如申請專利範圍第16項之在電漿製程室中蝕刻矽的方法,其中操作該電漿製程室以進行第三蝕刻以減少該峰部的步驟包含在15 to 100mtorr的操作壓力範圍下操作該電漿製程室。
  18. 如申請專利範圍第16項之在電漿製程室中蝕刻矽的方法,其中操作該電漿製程室以進行第三蝕刻以消除該峰部的步驟包含在200至1000V的製程室內之操作偏壓範圍下操作該電漿製程室。
  19. 如申請專利範圍第16項之在電漿製程室中蝕刻矽的方法,其中操作該電漿製程室以進行第三蝕刻以消除該峰部的步驟包含操作該電漿製程室以進行第三蝕刻30-180秒。
TW101116682A 2011-05-12 2012-05-10 在布氏蝕刻製程後獲得平滑之側壁之方法 TWI538049B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161485282P 2011-05-12 2011-05-12
US13/416,465 US8871105B2 (en) 2011-05-12 2012-03-09 Method for achieving smooth side walls after Bosch etch process

Publications (2)

Publication Number Publication Date
TW201310525A TW201310525A (zh) 2013-03-01
TWI538049B true TWI538049B (zh) 2016-06-11

Family

ID=47139951

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101116682A TWI538049B (zh) 2011-05-12 2012-05-10 在布氏蝕刻製程後獲得平滑之側壁之方法

Country Status (5)

Country Link
US (1) US8871105B2 (zh)
KR (1) KR101941312B1 (zh)
CN (1) CN103534196B (zh)
TW (1) TWI538049B (zh)
WO (1) WO2012154764A2 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101867998B1 (ko) * 2011-06-14 2018-06-15 삼성전자주식회사 패턴 형성 방법
US20150011073A1 (en) * 2013-07-02 2015-01-08 Wei-Sheng Lei Laser scribing and plasma etch for high die break strength and smooth sidewall
CN103531445B (zh) * 2013-10-12 2016-05-11 上海和辉光电有限公司 一种减小ltps接触孔深宽比的工艺方法
CN104576506A (zh) * 2013-10-22 2015-04-29 中微半导体设备(上海)有限公司 一种刻蚀硅通孔的方法
CN104617033B (zh) * 2013-11-05 2018-09-14 中芯国际集成电路制造(上海)有限公司 晶圆级封装方法
CN104658962B (zh) * 2013-11-19 2017-09-22 中芯国际集成电路制造(上海)有限公司 通孔的形成方法
KR102149733B1 (ko) * 2013-12-27 2020-08-31 삼성전자주식회사 자기냉각장치 및 이를 갖춘 자기냉각시스템
CN104752192B (zh) * 2013-12-31 2017-11-14 中芯国际集成电路制造(上海)有限公司 一种在半导体衬底表面制作斜面的方法
CN104835776B (zh) * 2014-02-08 2018-09-07 中芯国际集成电路制造(上海)有限公司 Tsv盲孔的制作方法
CN103896206B (zh) * 2014-04-09 2015-12-02 华中科技大学 基于硅片刻穿的体硅加工工艺
CN105185704A (zh) * 2015-08-05 2015-12-23 成都嘉石科技有限公司 深硅刻蚀方法
CN106829848B (zh) * 2017-03-18 2018-08-28 安徽北方芯动联科微系统技术有限公司 具有背面圆弧形棱边的mems芯片及其制造方法
GB201708927D0 (en) * 2017-06-05 2017-07-19 Spts Technologies Ltd Methods of plasma etching and plasma dicing
JP2020122740A (ja) * 2019-01-31 2020-08-13 セイコーエプソン株式会社 構造体形成方法およびデバイス
JP7281741B2 (ja) * 2019-08-23 2023-05-26 パナソニックIpマネジメント株式会社 素子チップのスムージング方法および素子チップの製造方法
WO2021127862A1 (en) * 2019-12-23 2021-07-01 Applied Materials, Inc. Methods for etching a material layer for semiconductor applications
US11342195B1 (en) 2021-02-04 2022-05-24 Tokyo Electron Limited Methods for anisotropic etch of silicon-based materials with selectivity to organic materials
CN115084014A (zh) * 2022-06-29 2022-09-20 北京量子信息科学研究院 超导量子芯片集成电路的硅通孔制造方法及集成电路
CN115841946B (zh) * 2023-02-24 2023-06-27 粤芯半导体技术股份有限公司 深硅刻蚀优化方法
CN116598254B (zh) * 2023-07-19 2023-09-29 粤芯半导体技术股份有限公司 深沟槽隔离结构的形成方法
CN117352383B (zh) * 2023-12-06 2024-04-05 合肥晶合集成电路股份有限公司 沟槽的制备方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010378A (en) * 1985-05-03 1991-04-23 Texas Instruments Incorporated Tapered trench structure and process
US5354386A (en) * 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5387314A (en) * 1993-01-25 1995-02-07 Hewlett-Packard Company Fabrication of ink fill slots in thermal ink-jet printheads utilizing chemical micromachining
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6924235B2 (en) 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US20040087153A1 (en) * 2002-10-31 2004-05-06 Yan Du Method of etching a silicon-containing dielectric material
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
JP4098225B2 (ja) * 2003-12-01 2008-06-11 松下電器産業株式会社 プラズマエッチング方法
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
JP2006278827A (ja) 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7425507B2 (en) 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
KR101147383B1 (ko) * 2005-11-01 2012-05-23 매그나칩 반도체 유한회사 반도체 소자의 딥 트렌치 형성 방법
JP4812512B2 (ja) * 2006-05-19 2011-11-09 オンセミコンダクター・トレーディング・リミテッド 半導体装置の製造方法
CN101148765B (zh) * 2006-09-19 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 硅片蚀刻方法
CN101459066B (zh) * 2007-12-13 2010-08-11 中芯国际集成电路制造(上海)有限公司 栅极、浅沟槽隔离区形成方法及硅基材刻蚀表面的平坦化方法

Also Published As

Publication number Publication date
TW201310525A (zh) 2013-03-01
US20130237062A1 (en) 2013-09-12
CN103534196B (zh) 2016-03-16
WO2012154764A3 (en) 2013-01-10
CN103534196A (zh) 2014-01-22
WO2012154764A2 (en) 2012-11-15
US8871105B2 (en) 2014-10-28
KR101941312B1 (ko) 2019-01-23
KR20140052998A (ko) 2014-05-07

Similar Documents

Publication Publication Date Title
TWI538049B (zh) 在布氏蝕刻製程後獲得平滑之側壁之方法
US6451705B1 (en) Self-aligned PECVD etch mask
TWI518771B (zh) Etching method
US20040178171A1 (en) Sloped trench etching process
KR101399181B1 (ko) 플라즈마 프로세싱 시스템에 대한 마스크 언더컷 및 노치를최소화시키는 방법
JP4576122B2 (ja) シリコンに高アスペクト比の異方性エッチングを行う方法および機器
JP2001505001A (ja) シリコンの異方性エッチングのための方法
JP5686747B2 (ja) ドライエッチング方法
CN108206131B (zh) 半导体结构以及半导体结构的形成方法
JP2007531280A (ja) 最少スカラップ基板の処理方法
TWI605516B (zh) 含矽基板之蝕刻方法
JP2008126374A (ja) 高アスペクト比の開口を有するシリコン構造体、その製造方法、その製造装置、及びその製造プログラム
JP2009206130A (ja) ドライエッチング方法及びドライエッチング装置
KR100925054B1 (ko) 웨이퍼 식각 방법
KR100326818B1 (ko) 실리콘층에칭방법및반도체장치형성방법
US20230015080A1 (en) Metal oxide directional removal
JP4769737B2 (ja) エッチング方法及びエッチング装置
JP2015098082A (ja) 構造化された表面を製作する方法
JP2005086079A (ja) 半導体装置の製造方法
Mohamed et al. The fabrication of high aspect ratio nanostructures on quartz substrate
TW201120953A (en) A through silicon via etching method.
JP4578893B2 (ja) シリコン材のプラズマエッチング方法及びプラズマエッチング装置
JP2024525764A (ja) 金属酸化物指向性除去
Keil et al. PROFILE CONTROL OF SUB-0.3 µm CONTACT ETCH FEATURES IN A MEDIUM-DENSITY OXIDE ETCH REACTOR
JP2006011129A (ja) 微細構造を有する光学素子の製造方法。