TWI500096B - 半導體製程反應室之冗餘溫度感應器 - Google Patents

半導體製程反應室之冗餘溫度感應器 Download PDF

Info

Publication number
TWI500096B
TWI500096B TW097141841A TW97141841A TWI500096B TW I500096 B TWI500096 B TW I500096B TW 097141841 A TW097141841 A TW 097141841A TW 97141841 A TW97141841 A TW 97141841A TW I500096 B TWI500096 B TW I500096B
Authority
TW
Taiwan
Prior art keywords
conductor
junction
thermocouple
controller
temperature
Prior art date
Application number
TW097141841A
Other languages
English (en)
Other versions
TW200929418A (en
Inventor
Ravinder Aggarwal
Mark Kleshock
Loren Jacobs
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW200929418A publication Critical patent/TW200929418A/zh
Application granted granted Critical
Publication of TWI500096B publication Critical patent/TWI500096B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • G01K7/04Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples the object to be measured not forming one of the thermoelectric materials
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K13/00Thermometers specially adapted for specific purposes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K15/00Testing or calibrating of thermometers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Description

半導體製程反應室之冗餘溫度感應器
本發明有關於在半導體製程反應室(semiconductor processing chamber)中加工基板且更特定而言,本發明有關於溫度感應器(temperature sensor),其經組態以提高溫度控制之準確性並減少反應器中之停工時間(downtime)。
高溫製程反應室(High-temperature processing chamber)用於向半導體基板上沈積各種材料層。諸如矽晶圓之一或多個基板可置放於反應室中之晶圓支撐件上。晶圓和支撐件皆被加熱到所要溫度。在典型晶圓處理步驟中,反應性氣體在加熱的晶圓上通過,造成反應性材料薄層在晶圓上之化學氣相沉積(chemical vapor deposition,CVD)。若所沈積之層具有與下面的矽晶圓相同的結晶結構,則其被稱作磊晶層(epitaxial layer)。此有時也被稱作單晶層,因為其僅具有一種晶體結構。藉由隨後的製程,此等層被製成積體電路,且取決於晶圓的大小和電路的複雜性,單層產生數十至數千或甚至數百萬個積體設備。
必須謹慎地控制各種製程參數以確保所形成的層的高品質。一個這樣的關鍵參數是在加工的每個處理步驟期間晶圓的溫度。在CVD期間,例如,多種沈積氣體在特定溫度起反應並沈積到晶圓上。若在晶圓表面上的溫度不同,則發生反應性氣體的不平均沈積。因此,重要的是,在處理開始之前,晶圓溫度要穩定在所要溫度且是均勻的。
同樣,在其它熱處理期間在晶圓上溫度的不均勻性或不穩定性可影響所形成結構的均勻性,溫度控制可能很關鍵的其它製程包括氧化、氮化、摻雜劑擴散、濺鍍沈積(sputter deposition)、光微影(photolithography)、乾式蝕刻(dry etching)、電漿製程和高溫退火(high temperature anneal)。
用於加工晶圓的已知方法和系統在製程反應室內使用以各種組態之熱電偶(thermocouple)和其它溫度感應器來調節和控制其內之溫度。舉例而言,Donald等人的美國專利第6,596,973號揭露了一種晶圓溫度估計器(wafer temperature estimator),其包括在晶圓下方之熱電偶和與晶圓在一個直線視線的光學高溫計(optical pyrometer)。另一實例是Van Bilsen的美國專利第6,121,061號,其教示了量測晶圓周圍各個點之溫度的多個溫度感應器,包括靠近晶圓前邊緣置放的一熱電偶和靠近後邊緣的另一熱電偶,一個在一側且另一個在晶圓下方。
但發現用於量測和控制製程反應室內的溫度之已知系統和方法的準確性隨著時間而降級或完全出現故障。這些降級和永久性故障導致沈積品質變差或製程反應室之未預期的停工時間以便進行維修或昂貴的替換。此外,需要大量的時間和費用使反應器返回到在被塗佈的晶圓上產生所要薄膜性質所必需之操作條件。因此,需要一種系統,其提高溫度控制之準確性並減少反應器之停工時間和維修。
在一實施例中,提供一種溫度控制系統,其用於控制半導體製程反應室中之溫度。此系統包括控制器和與控制器通訊之多接面熱電偶。多接面熱電偶包括被定位成量測基板一部份的溫度之第一接面,自第一接面延伸的第一導線對,第一導線對和第一接面形成第一電性路徑,被定位成測量基板的實質上相同部份的溫度之第二接面,和自第二接面延伸之第二導線對,第二導線對和第二接面形成第二電性路徑,所述控制器被組態以用來偵測所述第一電性路徑和第二電性路徑之至少一電性路徑中之故障且選擇性地使用非故障的電性路徑來控制至所述製程反應室內之熱輸入。
在另一實施例中,提供一種多接面熱電偶,其用於半導體製程反應室。此多接面熱電偶包括第一接面,接合於第一接面之第一導線對,距第一接面小於1毫米而安置之第二接面,以及接合於第二接面之第二導線對。
在另一實施例中,提供一種溫度偵測系統。此系統包括熱電偶總成和用於自熱電偶總成確定溫度量測之控制器。熱電偶總成包括接面和耦接至接面之第一導體,第一導體由第一材料形成。熱電偶總成更包括耦接至接面之第二導體和第三導體,第二導體和第三導體由不同於第一材料之第二材料形成。控制器由所述熱電偶總成來決定溫度量測,其中所述控制器經組態以用於監測第一導體、第二導體和第三導體和偵測此等導體中之至少一者之故障,且所述控制器經組態以響應於所述第一導體、第二導體或第 三導體之故障偵測而停止使用自故障的所述導體的輸入來決定自所述熱電偶總成而來的溫度量測。
在另一實施例中,提供一種半導體製程反應裝置(semiconductor processing apparatus)。此裝置包括反應室、用於在此反應室內支撐基板之晶座,用於支撐此晶座之軸桿總成,多接面熱電偶以及控制器。多接面熱電偶包括被定位成量測基板的一部份的溫度之第一接面,和被定位成測量此基板的實質上相同部份的溫度之第二接面。控制器用來控制至所述製程反應室內之熱輸入,所述熱電偶在操作時連接至所述控制器,使所述控制器接收由所述第一接面和第二接面此二接面而來之溫度量測,其中所述控制器被組態以用來偵測所述第一接面和第二接面之至少一接面中之故障且選擇性地使用非故障的接面來控制至所述製程反應室內之熱輸入。
在另一實施例中,提供一種測量半導體製程反應室中溫度之方法。此方法包括在此反應室內提供多接面熱電偶,此多接面熱電偶包括第一接面,可操作地連接至第一接面之第一導線對,在此第一接面1毫米內安置之第二接面,和可操作地連接至第二接面之第二導線對。此方法更包括自多接面熱電偶接收多種溫度量測,其中此量測可自第一接面、第二接面或兩個接面選擇性地接收。
預期所有此等實施例均在本文所揭露的本發明的範疇內。參看附圖,自下文本發明的詳細描述,對於熟習此項技術者而言,本發明的此等實施例和其它實施例將顯而易 見,本發明並不限於所揭露的任何特定實施例。
如上文所述,用於溫度控制之製程反應室的已知系統易於出現故障,這會導致用於替換構件的反應室停工時間和較大成本。此外,需要大量的時間和費用使反應器返回到在被塗佈的晶圓上產生所要薄膜性質所必需之操作條件。替換熱電偶和其它構件時需要侵入至反應室內,這可導致不當的顆粒產生。本文所教示之方法和系統緩和了此等問題中的許多問題。
雖然在用於加工半導體晶圓之冷壁CVD反應器(cold wall CVD reactor)的情形下描述了實施例,但應瞭解本文所述之加工方法可用於其它類型的反應器的情形。特定而言,熟習此項技術者可發現本文所述的方法適用於其它的半導體製程反應設備,其中將要在控制的溫度對半導體基板進行處理。本發明也可結合其它加熱/冷却系統來實施,諸如彼等感應加熱或電阻加熱之系統。而且,在其它基板之處理中常常需要精確的溫度控制,包括,但不限於,光學薄膜在玻璃或其它基板上之沈積。
圖1是根據實施例之製程反應室的橫截面圖。製程反應室200可包括石英反應室12。多個輻射熱源(其在所說明的實施例中包括加熱燈組(banks)13、14)支撐於反應室12外部,以向反應室12提供熱能而不會被石英反應室壁大量吸收。此外,多個聚光燈(spot lamp)15向晶圓支撐結構18的下側供應集中的熱量以抵消藉由穿過反應室12底 部延伸之冷支撐結構所造成的熱庫效應(heat sink effect)。
晶圓16被示出支撐於反應室12內晶圓支撐結構18上。所說明的支撐結構18包括晶座20和支撐支架(support spider)22,晶圓16擱置在晶座20上。支撐支架22安裝到軸桿24上,軸桿24通過自反應室12下壁懸置的管26向下延伸。
如在圖2的近視圖中更清楚地示出,多接面熱電偶110鄰近晶座(susceptor)20的中部。在其它實施例中,多接面熱電偶110可在反應室12中的其它部位,諸如靠近晶圓16(圖1)的前(leading)邊緣、後(trailing)邊緣或側邊緣。多接面熱電偶110包括第一接面112與第二接面114和第一導線對113與第二導線對115,第一導線對113與第二導線對115穿過支架22和軸桿24。在所說明的實施例中,支架22包括接納軸桿24之管座(socket)23,和自管座23大體上在徑向向外和向上延伸以支撐晶座20之多個支架臂25。在圖1和圖2的實施例中,第一接面112和第二接面114在晶圓16與軸桿24之間垂直地定位且在徑向距晶圓16的垂直中心軸線1毫米內定位。但是也可使用其它組態來量測在基板16的不同部位的溫度,諸如在前邊緣、後邊緣、側邊緣或任何其它位置的溫度。
圖3是根據本發明之實施例的溫度控制系統100的示意圖。溫度控制系統100包括在製程反應室200內之多接面熱電偶110。製程反應室200經組態以在基板上進行沈積。衆所周知,熱電偶藉由量測自接面延伸至另一參考點 之兩個不同導體所產生的電壓差來感應在接面的溫度。在接面與參考點之間的熱梯度(gradient)使得每個導體產生電壓。由於當向相同的溫度梯度暴露時不同的傳導(conducting)材料產生不同的電壓,因此在接面所鏈接的相異導體(linking dissimilar conductor)在參考點在兩個導體之間產生電壓差。換言之,在接面與在參考點的第一導線的端部之間的電壓差不等於在接面與在參考點第二導線的端部之間的電壓差。在參考點對第一導線與第二導線之間的電壓差的量測允許計算接面與參考點之間的溫度差。
熱電偶有時被稱作接觸型感應器(contact-type sensor),因為其常被置放成與待感應溫度之物件接觸。但是,其有時亦被定位成與此物件略微間隔開。熱電偶可為接觸型感應器,與待感應之物件間隔開置放之感應器以及熟習此項技術者已知的類似的熱量測設備。因此,量測基板之一部份的溫度之熱電偶可靠近此部份來定位而不是精確地定位於基板之此部份。舉例而言,量測該基板中心溫度之熱電偶可定位於支撐基板之晶座下方,諸如在基板下方大約6.4毫米。作為另一實例,量測基板邊緣溫度之熱電偶可鄰近基板來定位而不是實際上與基板接觸,諸如距基板大約12.7毫米至大約25.4毫米。
如圖3所示,多接面熱電偶110包括第一接面112和第二接面114。第一接面112和第二接面114可各藉由接合適用於在半導體製程反應室環境下偵測溫度之任何兩個導體而形成。如上文關於圖2所示,接合於第一接面112 之兩個導體是第一導線對113,且接合於第二接面114之兩個導體是第二導線對115。舉例而言,可使用所謂的R型熱電偶,其包括一根鉑(Pt)導線且另一根由鉑與大約13%銠(Rh)所形成的合金的導線。當然,可使用多種其它類型的熱電偶,只要其符合可承受反應器內的環境之目的,諸如高達大約1200℃的溫度。舉例而言,可使用的某些可能的熱電偶類型包括,但不限於,S型(一根導線由Pt形成,且另一根導線由90%Pt和10%Rh形成),B型(一根導線由94%Pt和6%Rh形成,另一根導線由70%Pt和30%Rh形成),K型(一根導線由90%鎳(Ni)和10%鉻(Cr)形成,且另一根導線由95%Ni、2%錳(Mn)、2%鋁(Al)和1%矽(Si)形成)以及N型(一根導線由Ni、Cr和Si形成,且另一根導線由Ni和Si形成)。
第一接面112定位於製程反應室200內特定位置,在該位置,其可量測在晶圓16(圖1)的預選部位之溫度。第二接面114被定位成量測在該基板的實質上相同部位之溫度。舉例而言,第一接面112和第二接面114可被定位成測量晶圓16中心的溫度。在該等佈置中,第一接面112和第二接面114可靠近晶圓中心而安置,諸如在基板中心1毫米的半徑內。如上文所述,第一接面112和第二接面114也可被定位成測量晶圓16其它部位的溫度,諸如晶圓的前邊緣、後邊緣、邊緣的其它部份或中心與邊緣之間的部位。
為了促進在晶圓的實質上相同部份的溫度量測,第一 接面112和第二接面114可彼此非常靠近地安置,諸如彼此在一毫米內,特別地彼此在0.5毫米內,更特別地彼此在0.25毫米內。在某些實施例中,第一接面112與第二接面114彼此重合。在附圖未示出的其它實施例中,多接面熱電偶110可包括超過兩個接面,所有這些接面彼此鄰近。
其它溫度感應器111也可在反應器200內使用。此等其它溫度感應器111可包括其它熱電偶、接觸型感應器、高溫計或適用於偵測半導體製程反應室環境內溫度之其它類型的溫度感應器。定位其它溫度感應器111以量測靠近晶圓的與熱電偶110相同的部份的溫度。在其它實施例中,定位其它的溫度感應器111以偵測在晶圓的不同於熱電偶100的部份的溫度且控制器120可基於來自其它溫度感應器111的讀數來估計靠近熱電偶110的部份的溫度。該等估計可通過內插法(interpolation)或外插法(extrapolation),或藉由比較來自其它溫度感應器111的讀數與來自先前模擬(previous simulation)的讀數來計算,在先前的模擬中,對於熱電偶110所位於的晶圓的相同部份獲得準確的讀數。以此方式,可使用其它溫度感應器111來產生溫度量測,可比較來自熱電偶110的讀數與所產生的溫度量測,如下文所述。
在圖3所說明的實施例中,熱電偶110與控制器120通訊。控制器120可包括處理器,尤其是可編程(programmable)的處理器。控制器120自多接面熱電偶110接收各種量測。在某些實施例中,控制器120亦自反應室 200內的其它溫度感應器111接收多種量測。在其它實施例中,控制器僅自多接面熱電偶110接收多種量測,而不自其它溫度感應器111接收多種量測。基於此等量測,控制器120調整至製程反應室200內的熱輸入。在某些實施例中,在自多接面熱電偶110接收多種量測之過程中,控制器120經組態,諸如藉由對控制器120中之處理器進行編程,以自第一接面112選擇性地接收量測並在正常操作條件下忽略自第二接面114之量測,直至在第一接面112中偵測到故障為止。在其它實施例中,在正常操作條件下,控制器120自第二接面114選擇性地接收量測並忽略自第一接面112之量測,直至在第二接面114中出現故障。在另外的實施例中,在正常操作條件下,控制器自第一接面112和第二接面114接收多種量測並組合該等量測,諸如藉由平均化該等量測,直至在接面112、114中之一者中偵測到故障。在控制器120自第一接面112和第二接面114接收多種量測的實施例中,藉由比較控制器120自第一接面112接收的量測與控制器120自第二接面114接收到的量測,控制器120可偵測第一接面和/或第一導線對113之故障或替代地,亦可偵測第二接面114和/或第二導線對115之故障。在控制器120自其它溫度感應器111接收量測之實施例中,控制器120可藉由比較自第一接面的量測與自所述感應器111的量測來偵測各接面112、114或導線對113、115之故障。
在接面本身出現故障時或者在連接該接面與控制器的 電路中之任何電路出現故障時,熱電偶接面可能會出現故障。如本文所用之故障包括讀數準確性降級,諸如溫度漂移(temperature drift),以及不能提供讀數,諸如在接面由於開路而未能與處理器進行通訊時。雖然僅一攝氏度的若干分之幾的不準確不能被視為故障,但取決於正在進行中之製程的敏感性,1℃或1℃以上的讀數不準確常常是故障。在開路模式的故障中,可藉由不同於上述控制器的構件來進行故障偵測,該構件例如可以是熱電偶與控制器之間的多工器(multiplexer)。
在開路情况下,控制器120可藉由認識到自該接面無電流流動而簡單地偵測到接面中之故障。在錯誤讀數的情况下,控制器120可藉由比較自接面112、114中之一者的量測與自另一接面的量測,或藉由比較自接面112、114中之一者的量測與自其它溫度感應器111的量測來偵測故障。若在量測中存在顯著差異,諸如1℃或1℃以上的差異,則控制器120可判斷出接面中之一者出現故障。當故障判斷僅涉及比較自第一接面的量測與自第二接面的量測時,在某些實施例中,此故障判斷可藉由不同於控制器120的構件來執行,諸如多工器。控制器120然後可使用自其它源的信息,諸如自製程反應室200中之其它溫度感應器111的量測,來判斷接面112、114或導線對113、115中的哪些出現故障。在使用自其它溫度感應器111之資訊的情况下,控制器120可進行計算以與接面112、114相比來考慮其它溫度感應器的部位。
在涉及熱電偶接面112、114之實施例中,當控制器120偵測到任一接面或相關聯的導線對113、115出現故障的情况下,出於製程控制的目的,控制器120可忽略出現故障的接面/導線對並僅使用未出現故障的接面/導線來執行其控制功能。換言之,控制器120不再使用來自出現故障的接面/導線對的量測而是可繼續不減緩地進行晶圓加工。在此應用中,在涉及接面對的實施例中,接面的“選擇”包括出於製程控制目的忽略出現故障的接面/導線對並使用自未出現故障的接面/導線對之量測,其中“選定”接面是未出現故障的接面/導線對。舉例而言,在控制器120基於自兩個接面112、114之量測來調整至製程反應室200內的熱輸入之實施例中,若其偵測到第一接面112或第一導線對113出現故障,則控制器120可選擇第二接面114。在選擇第二接面114後,控制器基於自第二接面114而不是第一接面112的量測來調整至製程反應室200內之熱輸入。
在某些實施例中,控制器120可繼續自第一接面112接收多種量測,即使在選擇第二接面114以便監視第一接面112或第一導線對113中的故障是否結束之後。但是,除非控制器120判斷出第一接面112或第一導線對113的故障已結束,在其選擇了第二接面114之後,控制器120將不會考慮其自第一接面112接收之量測。用於判斷接面或導線對的故障是否結束之製程類似於上文關於偵測故障所述之製程,例如,藉由比較自相關接面之量測與自其它 溫度感應器之量測。控制器120較佳地經組態以偵測接面或導線對之故障且選擇其它接面而不顯著地中斷製程反應室200中之加工。因此,在溫度控制系統100中,即使在多接面熱電偶110中之接面112、114(或相關聯的導線對113、115)中之一者出現故障的情况下,可繼續反應室200中之溫度偵測和控制而無需停止反應室200中之加工來替換出現故障的熱電偶接面/導線對。如熟習此項技術者所理解,替換熱電偶通常涉及使反應室200向周圍空氣暴露,這需要隨後對反應室進行淨化以移除污染物。所有這些均需要時間。因此,具有冗餘接面之溫度控制系統100可有利地減小使反應室200返回到所要操作條件之反應室停工時間和費用。
圖4A和圖4B是根據實施例之熱電偶的示意圖。在圖4A所示之實施例中,第一接面112與第二接面114是重合的。在圖4B之實施例中,第一接面112和第二接面114彼此非常靠近地安置著,諸如彼此在一毫米內,特別地彼此在0.5毫米內,更特別地彼此在0.25毫米內。第一接面112經由第一導線對113和第一接面連接器118而與處理器120通訊。第一導線對113可包括兩個不同類型的電導體之組合,當向相同溫度梯度暴露時,兩個不同類型的電導體產生不同的電壓。如上文所述,示範性組合包括一根導線由Pt形成和另一根導線由Pt和大約13%的銠合金形成,通常被稱作R型熱電偶。第二接面114透過第二導線對115和第二接面連接器119而與處理器120通訊。第二 導線對115可以上文關於第一導線對113所述的相同方式形成。或者,第二導線對115可由與第一導線對113的導體不同的另一組導體製成。舉例而言,第一導線對可為R型且第二導線對可為B型。
第一接面112和第二接面114可受到覆蓋物116的保護。覆蓋物116可包括由石英或其它玻璃體或非玻璃體材料製成的外鞘(sheath)。為了進一步保護熱電偶100,覆蓋物116可包括在外鞘上形成之塗層。塗層可在外鞘與反應室內的環境之間形成屏障(barrier)。塗層可非常薄,諸如具有在大約500至5000埃之間,特別是1500至2500埃之間的厚度。塗層可包括比下面外鞘的材料更耐酸、高溫和熱循環的材料。舉例而言,塗層可包括碳化矽和/或氮化矽。塗層可藉由能够形成對下面外鞘具有良好粘附性的薄屏障層之任何製程來形成。舉例而言,在某些實施例中,塗層可藉由濺鍍製程來形成。在其它實施例中,塗層可藉由化學氣相沈積製程來形成。可結合覆蓋物116使用來保護熱電偶110之外鞘和塗層在2001年4月6日提出申請的名稱為“BARRIER COATING FOR VITREOUS MATERIALS”的美國專利申請第2002/001121號的段落[0028]-[0035]中更詳細地描述,這些段落以引用的方式結合到本文中。
圖5是根據某些實施例之熱電偶的示意圖。圖5所示之熱電偶110包括單個接面112、第一導體113a、第二導體113b、第三導體115a和第四導體115b。在圖5所示的 實施例中,第一導體113a和第三導體115a是相同類型的導體,即,其由相同材料形成。第二導體113b和第四導體115b也是彼此相同類型的導體,即,由相同但不同於導體113a、115a的材料來形成。舉例而言,導體113a、115a可為由Pt形成的導線,而導體113b、115b可為由Pt和Rh形成的導線。
在圖5所示的佈置中,可以四種不同的方式自熱電偶110獲得溫度量測:藉由比較第一導體113a上的電壓與第二導體113b上的電壓;藉由比較第一導體113a上的電壓與第四導體115b上的電壓;藉由比較第三導體115a上的電壓與第二導體113b上的電壓;以及,藉由比較第三導體115a上的電壓與第四導體115b上的電壓。
在此等四種方法中之每一種方法中藉由比較自導體之讀數,控制器120(圖3和圖4)可偵測哪個特定導體出現故障。一旦偵測到故障,控制器120可忽略該出現故障的導體同時繼續自其它導體接收量測。即使在該等導體中的一個導體出現故障後,圖5的熱電偶仍可提供冗餘(redundancy)。舉例而言,若控制器120偵測到第一導體113a出現故障,仍可以兩種方式獲得溫度量測:藉由比較第三導體115上的電壓與第二導體113b上的電壓;以及,藉由比較第三導體115a上的電壓與第四導體115b上的電壓。熟習此項技術者將瞭解到此等原理可應用於具有超過四個導體之熱電偶,從而達成更大的冗餘。
圖6說明以不同方式提供冗餘之熱電偶210。熱電偶 210包括接面212、第一導體213和第二導體215a、第三導體215b和第四導體215c。第二導體215a、第三導體215b和第四導體215c彼此是相同的類型,但是與第一導體213是不同的類型。換言之,導體215a、215b和215c較佳地由相同材料形成,其較佳地不同於導體213的材料。當已知一種類型的導體比其它類型的導體更頻繁地出現故障時,圖6之熱電偶特別適用。第二導體215a、第三導體215b和第四導體215c可由出現故障的可能性更大的導體材料來形成。以此方式,若導體215a、215b或215c中之一者出現故障時,熱電偶210仍能提供冗餘,因為第一導體213仍可與其餘兩個未出現故障的導體組合。另外,若導體215a、215b或215c中之再一者出現故障時,熱電偶210仍可藉由組合第一導體213與其餘未出現故障的導體來提供溫度量測。應瞭解可提供任何數目的導體213和215。
熟習此項技術者應瞭解在不偏離本發明範疇的情况下可以對本發明做出各種修改和變化。預期所有該等修改和變化屬於如所附申請專利範圍所界定之本發明的範疇內。
12‧‧‧反應室
13‧‧‧加熱燈
14‧‧‧加熱燈
15‧‧‧聚光燈
16‧‧‧晶圓
18‧‧‧晶圓支撐結構
20‧‧‧晶座
22‧‧‧支撐支架
23‧‧‧管座
24‧‧‧軸桿
25‧‧‧支架臂
26‧‧‧管
100‧‧‧溫度控制系統
110‧‧‧接面熱電偶
111‧‧‧溫度感應器
112‧‧‧第一接面
113‧‧‧第一導線對
113a‧‧‧第一導體
113b‧‧‧第二導體
114‧‧‧第二接面
115‧‧‧第二導線對
115a‧‧‧第三導體
115b‧‧‧第四導體
116‧‧‧覆蓋物
118‧‧‧第一接面連接器
119‧‧‧第二接面連接器
120‧‧‧控制器
200‧‧‧製程反應室
210‧‧‧熱電偶
212‧‧‧接面
213‧‧‧第一導體
215a‧‧‧第二導體
215b‧‧‧第三導體
215c‧‧‧第四導體
圖1是根據本發明之實施例的製程反應室的橫截面圖。
圖2是圖1之反應室的放大橫截面圖。
圖3是根據本發明之實施例的溫度控制系統的示意圖。
圖4A是根據本發明之其它實施例的多接面熱電偶的 示意圖。
圖4B是根據本發明之其它實施例的多接面熱電偶之示意圖。
圖5是根據本發明之其它實施例的熱電偶的示意圖。
圖6是根據本發明之其它實施例的熱電偶的示意圖。
12‧‧‧反應室
13‧‧‧加熱燈
14‧‧‧加熱燈
15‧‧‧聚光燈
16‧‧‧晶圓
18‧‧‧晶圓支撐結構
20‧‧‧晶座
22‧‧‧支撐支架
23‧‧‧管座
24‧‧‧軸桿
25‧‧‧支架臂
26‧‧‧管
200‧‧‧製程反應室

Claims (10)

  1. 一種包括熱電偶總成之溫度偵測系統,所述熱電偶總成包括:接面;第一導體,耦接至所述接面,所述第一導體由第一材料形成;第二導體和第三導體,耦接至所述接面,所述第二導體和第三導體由不同於所述第一材料之第二材料形成,其中所述第二導體和第三導體由相同的所述第二材料形成;以及控制器,用於決定來自所述熱電偶總成之溫度量測,其中所述控制器被組態以用來監測所述第一導體、第二導體及第三導體和偵測該些導體中之至少一導體的故障,且其中所述控制器經組態以響應於所述第一導體、第二導體或第三導體之故障偵測而停止使用自故障的所述導體的輸入來決定自所述熱電偶總成而來的溫度量測,其中所述第一導體和第二導體之間形成第一熱電偶,且所述第一導體和第三導體之間形成第二熱電偶。
  2. 如申請專利範圍第1項所述之包括熱電偶總成之溫度偵測系統,更包括第四導體,其中所述第四導體由與所述第二導體和第三導體相同的所述第二材料形成,所述第四導體耦接至所述接面。
  3. 如申請專利範圍第1項所述之包括熱電偶總成之溫度偵測系統,其中所述第一材料是鉑且所述第二材料是 鉑和銠。
  4. 如申請專利範圍第1項所述之包括熱電偶總成之溫度偵測系統,其中所述熱電偶總成經組態以用於半導體製程反應室。
  5. 一種用於控制半導體製程反應室中溫度之溫度控制系統,包括:控制器,用於控制至所述製程反應室內之熱輸入;以及熱電偶總成,與所述控制器通訊,所述熱電偶總成包括:接面;耦接至所述接面之第一導體,所述第一導體由第一材料形成;以及耦接至所述接面之第二導體和第三導體,所述第二導體和第三導體由不同於所述第一材料之第二材料形成,其中所述第二導體和第三導體由相同的所述第二材料形成,其中所述第一導體和第二導體之間形成第一熱電偶,且所述第一導體和第三導體之間形成第二熱電偶,且其中所述控制器被組態以偵測所述第一熱電偶和第二熱電偶之至少一熱電偶中之故障且選擇性地使用非故障的熱電偶來控制至所述製程反應室內之熱輸入。
  6. 如申請專利範圍第5項所述之用於控制半導體製程反應室中溫度之溫度控制系統,更包括第四導體,其中所述第四導體由與所述第二導體和第三導體相同的所述第二材料形成,所述第四導體耦接至所述接面。
  7. 如申請專利範圍第5項所述之用於控制半導體製程反應室中溫度之溫度控制系統,其中所述第一材料是鉑且所述第二材料是包括鉑和銠的合金。
  8. 一種半導體製程反應裝置,包括:反應室;安置於所述反應室中之晶座,其中在所述反應室中之所述晶座上可支撐基板;用於支撐所述晶座之延伸至所述反應室中之軸桿總成;以及熱電偶總成,至少部分地安置於所述軸桿總成中,所述熱電偶總成包括:接面,位於鄰近於所述晶座;第一導體,耦接至所述接面,所述第一導體由第一材料形成;以及第二導體和第三導體,耦接至所述接面,所述第二導體和第三導體由不同於所述第一材料之第二材料形成,其中所述第二導體和第三導體由相同的所述第二材料形成;其中所述第一導體和第二導體之間形成第一熱 電偶,且所述第一導體和第三導體之間形成第二熱電偶;以及控制器,用來控制至所述製程反應室內之熱輸入,所述熱電偶總成在操作時連接至所述控制器,使所述控制器接收由所述第一熱電偶和第二熱電偶而來之溫度量測,其中所述控制器被組態以偵測所述第一熱電偶和第二熱電偶之至少一熱電偶中之故障且選擇性地使用非故障的熱電偶來控制至所述製程反應室內之熱輸入。
  9. 如申請專利範圍第8項所述之半導體製程反應裝置,更包括第四導體,其中所述第四導體由與所述第二導體和第三導體相同的所述第二材料形成,所述第四導體耦接至所述接面。
  10. 如申請專利範圍第8項所述之半導體製程反應裝置,其中所述第一材料是鉑且所述第二材料是包括鉑和銠的合金。
TW097141841A 2007-12-20 2008-10-30 半導體製程反應室之冗餘溫度感應器 TWI500096B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/961,671 US7993057B2 (en) 2007-12-20 2007-12-20 Redundant temperature sensor for semiconductor processing chambers

Publications (2)

Publication Number Publication Date
TW200929418A TW200929418A (en) 2009-07-01
TWI500096B true TWI500096B (zh) 2015-09-11

Family

ID=40291283

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097141841A TWI500096B (zh) 2007-12-20 2008-10-30 半導體製程反應室之冗餘溫度感應器

Country Status (3)

Country Link
US (1) US7993057B2 (zh)
TW (1) TWI500096B (zh)
WO (1) WO2009082539A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11543296B2 (en) 2019-05-31 2023-01-03 Applied Materials, Inc. Method and apparatus for calibration of substrate temperature using pyrometer

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130130184A1 (en) * 2011-11-21 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method for Controlling Wafer Temperature
DE102012105547A1 (de) * 2012-06-26 2014-01-16 Endress + Hauser Wetzer Gmbh + Co. Kg Temperaturmessvorrichtung, Messelement für eine Temperaturmessvorrichtung und Verfahren zum Herstellen der Temperaturmessvorrichtung
CN103628046B (zh) * 2012-08-24 2015-11-11 中微半导体设备(上海)有限公司 一种调节基片表面温度的控温系统和控温方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
DE102013107048B4 (de) * 2013-07-04 2017-08-03 Von Ardenne Gmbh Vorrichtung und Verfahren zur Erhöhung der Sicherheit eines Überhitzungsschutzes einer Substratbehandlungsanlage
GB2516251B (en) * 2013-07-16 2018-11-07 Miguel Pereira Horta Luis Constructal thermocouple device
US9748118B2 (en) * 2013-07-31 2017-08-29 Semes Co., Ltd. Substrate treating apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP2899518A1 (de) 2014-01-27 2015-07-29 Technische Universität Chemnitz Temperaturmesseinrichtung
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017139353A1 (en) * 2016-02-08 2017-08-17 Watlow Electric Manufacturing Company Temperature sensing system for rotatable wafer support assembly
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11551950B2 (en) 2017-11-28 2023-01-10 Evatec Ag Substrate processing apparatus and method of processing a substrate and of manufacturing a processed workpiece
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10903097B2 (en) * 2018-03-30 2021-01-26 Axcelis Technologies, Inc. In-situ wafer temperature measurement and control
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
TW202037294A (zh) * 2019-03-11 2020-10-16 英商尼可創業貿易有限公司 氣溶膠供給裝置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
JP6851436B2 (ja) * 2019-08-02 2021-03-31 日本発條株式会社 温度センサ、ヒータユニット
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113355639B (zh) * 2021-06-08 2023-09-12 京东方科技集团股份有限公司 温度检测装置及蒸镀设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022123631A1 (de) 2022-09-15 2024-03-21 Temperaturmeßtechnik Geraberg GmbH Thermoelektrische Mess- und Diagnosevorrichtung

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3417618A (en) * 1966-05-31 1968-12-24 Fenwal Inc Thermocouple probe assembly and temperature sensing circuits comprising the same
US4075036A (en) * 1974-09-10 1978-02-21 Boris Vasilievich Lysikov Profiled multielectrode thermocouple
US6239351B1 (en) * 1993-07-01 2001-05-29 Hoskins Manufacturing Company Multi-wire self-diagnostic thermocouple
US6325858B1 (en) * 1997-11-03 2001-12-04 Asm America, Inc. Long life high temperature process chamber

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US154695A (en) * 1874-09-01 Improvement in processes of brightening tobacco
US3011006A (en) * 1958-11-10 1961-11-28 Carborundum Co Process and apparatus for measuring high temperatures
CH513536A (de) 1968-08-14 1971-09-30 Egyesuelt Izzolampa Aus Wolframlegierung bestehendes Thermoelement
JPS5611329A (en) * 1979-07-09 1981-02-04 Nippon Kokan Kk <Nkk> Measuring method of melted metal temperature in vessel
US4692556A (en) * 1984-06-29 1987-09-08 Electro-Nite Company Repeating temperature sensing immersion probe
US4592307A (en) * 1985-02-28 1986-06-03 Rca Corporation Vapor phase deposition apparatus
JPS62134936A (ja) 1985-12-05 1987-06-18 アニコン・インコ−ポレ−テツド 腐食耐性をもつたウエ−フア−・ボ−ト及びその製造法
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0648217B2 (ja) * 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) * 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
US5360269A (en) * 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
JP2780866B2 (ja) * 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5228114A (en) 1990-10-30 1993-07-13 Tokyo Electron Sagami Limited Heat-treating apparatus with batch scheme having improved heat controlling capability
US5104514A (en) * 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
JPH0564627A (ja) 1991-09-09 1993-03-19 Olympus Optical Co Ltd 管内検査装置
US5455069A (en) * 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5271967A (en) * 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
JP3265006B2 (ja) * 1992-11-13 2002-03-11 理想科学工業株式会社 ロール体よりのシート材繰り出し装置およびロール体
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5456761A (en) * 1993-07-15 1995-10-10 Alcan International Limited High temperature and abrasion resistant temperature measuring device
US5474618A (en) * 1994-04-19 1995-12-12 Rdc Controle Ltee Protective ceramic device for immersion pyrometer
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3137164B2 (ja) * 1994-06-02 2001-02-19 信越半導体株式会社 熱処理炉
DE4429825C1 (de) * 1994-08-23 1995-11-09 Heraeus Quarzglas Beschichtetes Bauteil aus Quarzglas
US5514439A (en) * 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5716133A (en) 1995-01-17 1998-02-10 Applied Komatsu Technology, Inc. Shielded heat sensor for measuring temperature
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5910221A (en) * 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
DE19936942A1 (de) 1999-08-05 2001-02-08 Bosch Gmbh Robert Brennstoffeinspritzventil
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
KR100752682B1 (ko) 2000-04-06 2007-08-29 에이에스엠 아메리카, 인코포레이티드 유리질 보호용 장벽코팅
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US6658895B2 (en) * 2001-08-16 2003-12-09 Richter Precision, Inc. Carbon nitride coating for optical media discs
US7044638B2 (en) * 2004-05-24 2006-05-16 Rosemount Aerospace, Inc. Multi-element thermocouple
JP5034327B2 (ja) * 2006-06-07 2012-09-26 富士通セミコンダクター株式会社 半導体製造装置用温度測定具、半導体製造装置の温度測定方法、及び半導体製造装置
US7841771B2 (en) * 2006-07-13 2010-11-30 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Self-validating thermocouple
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US8047706B2 (en) * 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3417618A (en) * 1966-05-31 1968-12-24 Fenwal Inc Thermocouple probe assembly and temperature sensing circuits comprising the same
US4075036A (en) * 1974-09-10 1978-02-21 Boris Vasilievich Lysikov Profiled multielectrode thermocouple
US6239351B1 (en) * 1993-07-01 2001-05-29 Hoskins Manufacturing Company Multi-wire self-diagnostic thermocouple
US6325858B1 (en) * 1997-11-03 2001-12-04 Asm America, Inc. Long life high temperature process chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11543296B2 (en) 2019-05-31 2023-01-03 Applied Materials, Inc. Method and apparatus for calibration of substrate temperature using pyrometer
TWI799700B (zh) * 2019-05-31 2023-04-21 美商應用材料股份有限公司 利用高溫計校正基板溫度的方法及非暫時性計算機可讀存儲介質

Also Published As

Publication number Publication date
US20090159000A1 (en) 2009-06-25
TW200929418A (en) 2009-07-01
US7993057B2 (en) 2011-08-09
WO2009082539A1 (en) 2009-07-02

Similar Documents

Publication Publication Date Title
TWI500096B (zh) 半導體製程反應室之冗餘溫度感應器
US7946762B2 (en) Thermocouple
TWI677037B (zh) 半導體基板支持組件之溫度控制板中的失效溫度控制元件之自動校正
JP5686952B2 (ja) 温度および放射率/パターン補償を含む膜形成装置および方法
US6744018B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
US20120211484A1 (en) Methods and apparatus for a multi-zone pedestal heater
TW201113510A (en) Smart temperature measuring device
JP2001257169A (ja) 加工室の温度制御方法、半導体加工装置及びセンサ較正方法
TW201230229A (en) Substrate processing apparatus, temperature controlling method of substrate processing apparatus and heating method of substrate processing apparatus
JP2009510772A (ja) 温度コントロールおよびパターン補償装置
US20210313205A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Heater
KR20210000731A (ko) 공간적으로 분해된 웨이퍼 온도 제어를 위한 가상 센서
US20190391602A1 (en) Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (mfcs)
JP2003022981A (ja) 高融点金属シリサイド化相転移温度点を用いてrtp低温操作を制御及び/又は較正する方法
JP2010028011A (ja) エピタキシャル層の膜厚測定方法、エピタキシャルウェーハの製造方法およびエピタキシャルウェーハ製造工程管理方法
JP2006339242A (ja) 半導体装置の製造方法
JP2006147943A (ja) 基板処理装置及び半導体装置の製造方法
EP3931369A1 (en) Device and method to provide planarity of a wafer during growth
JP2008098214A (ja) 熱処理温度の補正方法及び熱処理方法
TWI828239B (zh) 一種化學氣相沉積裝置的溫度校準和控制方法
US20220415694A1 (en) Electrostatic chuck, assembly including the electrostatic chuck, and method of controlling temperature of the electrostatic chuck
JPH03195928A (ja) 半導体製造装置
TW504784B (en) Using refractory metal silicidation phase transition temperature points to control and/or calibrate RTP low temperature operation
KR20160094057A (ko) 반응 챔버의 히터 단선 감지시스템 및 방법
KR20030060434A (ko) 진공 게이지 교정 장치