TWI451525B - Substrate processing device - Google Patents

Substrate processing device Download PDF

Info

Publication number
TWI451525B
TWI451525B TW100122507A TW100122507A TWI451525B TW I451525 B TWI451525 B TW I451525B TW 100122507 A TW100122507 A TW 100122507A TW 100122507 A TW100122507 A TW 100122507A TW I451525 B TWI451525 B TW I451525B
Authority
TW
Taiwan
Prior art keywords
peripheral
substrate
temperature
flow path
central
Prior art date
Application number
TW100122507A
Other languages
English (en)
Other versions
TW201205717A (en
Inventor
Masaya Odagiri
Yusuke Muraki
Jin Fujihara
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201205717A publication Critical patent/TW201205717A/zh
Application granted granted Critical
Publication of TWI451525B publication Critical patent/TWI451525B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/201Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated for mounting multiple objects

Description

基板處理裝置
本發明係有關於一種例如於半導體製程等之微細加工領域中使用之基板處理裝置。
先前,於真空中之半導體製程等之基板(晶圓)處理中,為了提高處理均一性,而進行用以使基板表面溫度均一化之溫度調節。作為基板溫度調節方法,一般採用如下方法,於載置基板之基板載置台(stage)之內部設置冷媒流路,使冷媒流入該流路,由來自基板載置台之放射熱,冷卻載置於基板載置台上之基板表面,從而進行溫度調節。
例如,於專利文獻1中,揭示有一種電漿處理裝置,於該電漿處理裝置中,於基板載置台之內部設置2個同心圓狀之冷媒流路,使流入外側流路之冷媒與流入內側流路之冷媒之溫度成為相對不同之溫度,並藉由使對接受來自腔室內壁之放射熱之基板周緣部之冷卻相較對基板中央部之冷卻為強冷卻,而使基板之表面溫度均一化。
[先前技術文獻] [專利文獻]
專利文獻1:日本專利特開平9-17770號公報
然而,於上述專利文獻1記載之電漿處理裝置中,流入溫度相互不同之冷媒之2個系統之冷媒流路係於1個基板載置台之內部鄰接,導致該2個系統之冷媒流路溫度相互影響,因此,存在無法獨立控制基板之中央部與周緣部分別之冷卻之虞。即,無法分別對載置於基板載置台上之基板之中央部及周緣部進行精密之溫度管理、溫度控制,從而難以使受到來自腔室內壁之放射熱較大影響之基板周緣部之表面溫度、與受此影響較小之基板中央部之表面溫度均一化。因此,由於基板處理中之基板表面整面之條件未能均一化,故而,存在無法均一地進行基板處理之問題。進而,於電漿處理裝置內,因基板載置台為一體構成,亦將成為導致2個系統之冷媒流路之溫度相互影響,從而無法獨立控制中央部及周緣部之基板溫度之原因。再者,由於獨立設置2個系統之冷媒流路,故而需要用以對各個冷媒流路之入口與出口供給或排出冷媒之配管,因此,裝置整體之配管數量增加或配管構成之複雜化亦成為問題。
此外,例如於上述專利文獻1記載之電漿處理裝置中,一般而言,基板係以靜電吸盤等之方式載置於基板載置台上,故而,基板載置台之溫度變化容易直接影響基板表面之溫度變化,使得基板表面之溫度管理、溫度控制相對容易。然而,於以基板與基板載置台之間形成有間隙之狀態下載置基板之方式之基板處理裝置中,藉由來自基板載置台之放射熱,對基板表面進行溫度管理、溫度控制,該情形時,基板載置台之溫度變化並不直接影響至基板表面之溫度變化,故而,必需對基板載置台進行更精密之溫度管理、溫度控制。
因而,鑒於上述問題等,本發明之目的在於提供一種可以彼此互不影響之方式,獨立且精密地進行基板之周緣部與中心部之溫度管理、溫度控制,且使配管構成簡化之基板處理裝置。
為了達成上述目的,根據本發明,提供一種基板處理裝置,其係於真空處理空間中處理基板者,且包含載置至少2片以上之基板之基板載置台,上述基板載置台係包含數量與載置之基板數量對應之基板載置部,且於上述基板載置部,相互獨立地形成有對載置之基板之中央部進行調溫之中央調溫流路、及對基板周緣部進行調溫之周緣調溫流路,於上述基板載置台上設置有1個使調溫介質導入至上述周緣調溫流路之調溫介質導入口,且設置有數量與載置之基板數量對應之使調溫介質自上述周緣調溫流路中排出之調溫介質排出口。再者,此處,所謂調溫係表示溫度控制、溫度調節。
於上述基板處理裝置中,上述周緣調溫流路包含:周緣內側流路,其係一端部連接於上述調溫介質導入口,且沿著基板之周緣部延伸;周緣外側流路,其係一端部連接於上述調溫介質排出口,且沿著基板之周緣部延伸;以及連接流路,其連接上述周緣內側流路之另一端部與上述周緣外側流路之另一端部;且,上述連接流路與上述調溫介質排出口係分別隔著上述調溫介質導入口,鄰接地配置於該調溫介質導入口。
亦可使上述中央調溫流路與上述周緣調溫流路分別連接於不同之調溫介質供給源。亦可於上述中央調溫流路及上述周緣調溫流路之內部上表面,設置自該上表面突出之散熱片。亦可於上述調溫介質排出口分別設置有流量控制機構。上述流量控制機構可分別進行獨立控制。
又,於上述基板處理裝置中,上述基板載置部係包含或置基板之周緣部進行溫度控制之周緣載置部件、載置基板之中央部進行溫度控制之中央載置部件、及支撐上述周緣載置部件及上述中央載置部件之支撐台,且,於上述周緣載置部件之內部,形成有上述周緣調溫流路,於上述中央載置部件之內部形成有上述中央調溫流路,於上述周緣載置部件與上述中央載置部件之間形成有間隙,且上述周緣載置部件與上述中央載置部件為非接觸。
上述周緣載置部件係包含2個以上作為環狀之周緣部、與使該周緣部彼此結合之周緣結合部,上述中央載置部件係包含形狀與上述周緣部之內周對應之2個以上之中央部、與使該中央部彼此結合之中央結合部,於上述周緣部與上述中央部之間,沿水平方向形成有環狀間隙,於上述周緣結合部與上述中央結合部之間,沿鉛垂方向形成有間隙,且上述周緣結合部及上述中央結合部可分別結合於上述支撐台。又,亦可於上述周緣部之外緣部,設置有進行基板位置對準之聚焦環。
根據本發明,提供一種可以互不影響之方式,獨立且精密地對基板之周緣部與中心部進行溫度管理、溫度控制,且使配管構成簡化之基板處理裝置。
以下,參照圖式,說明本發明之實施形態。再者,於本說明書及圖式中,對於實質上具有相同之功能構成之構成要素,藉由標註相同符號來省略重複說明。又,於以下之本發明實施形態中,以同時配置、處理2片基板W之基板處理裝置1為一實施形態例,進行說明。
圖1係本發明實施形態之基板處理裝置1之概略剖面圖。如圖1所示,基板處理裝置1係包含處理腔室10、與配置於處理腔室10內進行基板W之處理時載置基板W之基板載置台20。再者,於圖1中圖示有2片基板W載置於基板載置台20之上表面之情形。又,於處理腔室10中,設置有連通至處理氣體供給機構22之例如噴頭形狀之處理氣體導入部23、與連通至真空泵25之排氣口26。藉此,處理腔室10內便可進行真空抽吸,又,於基板W處理時,自處理氣體導入部23將處理氣體導入至處理腔室10內。
又,於處理腔室10中,設置有多根支撐銷28,該等支撐銷28係藉由貫通基板載置台20,且突出至該基板載置台20之上方來支撐基板W,進行基板W對基板載置台20之載置。支撐銷28係藉由結合於支撐銷28使支撐銷28沿鉛垂方向(圖1中之上下方向)升降之升降機構29而構成為升降自如。再者,如圖1所示,升降機構29係包含設置於處理腔室10外部之作為例如氣缸等之驅動部29a、與連接於驅動部29a且自驅動部29a伸長至處理腔室10內之升降部29b。支撐銷28係安裝於升降部29b,且支撐銷28亦與藉由驅動部29a之運轉而升降之升降部29b聯動地進行升降。當將基板W載置於基板載置台20之上表面時,使支撐銷28以特定之長度突出至基板載置台20之上方,且於使基板W載置於該突出之支撐銷28之上端之狀態下,以支撐銷28之前端靠近基板載置台20之上表面附近之方式使支撐銷28下降,藉此,將基板W載置於基板載置台20上。
又,於基板載置台20之上表面設置有微小之突起部30,如上所述,於基板W在由支撐銷28支撐之狀態下,下降至基板載置台20之上表面附近之情形時,基板W以藉由基板載置台20上表面之突起部30而懸浮於基板載置台20上表面上之狀態(與基板載置台20大致不接觸之狀態)載置。再者,於本實施形態之基板處理裝置1中,相對於1片基板W設置有3根支撐銷28,又,突起部30亦相對於1片基板W設置於3個部位,藉由3根支撐銷28以3點支撐基板W而支撐、升降基板W,並利用設置於基板載置台20之上表面之3個部位(相對於1片基板)的突起部30,使基板W以大致不接觸之狀態載置於基板載置台20上。此處,使基板W以大致不接觸之狀態載置於基板載置台20之上表面之原因在於,若將基板W直接載置於基板載置台20上,則有於基板載置台20表面之微粒等雜質會附著於基板W表面之虞。
為了更詳細地說明基板載置台20之構成,以下參照圖2描述該構成。圖2係關於基板載置台20之說明圖。此處,為了進行說明,而於圖2(a)中表示以下說明之各部件(周緣載置部件40、中央載置部件50、支撐台55)未經連結狀態下之基板載置台20之正面立體圖,且於圖2(b)中表示使各部件連結狀態下之基板載置台20之正面立體圖。又,圖2中並未圖示貫通基板載置台20而設置之支撐銷28、或以下說明之各調溫流路等。再者,基板載置台20係於在上述圖1所示之基板處理裝置1中配置於處理腔室10內之情形時,以圖2(b)所示之各部件經連結之狀態配置。
如圖2所示,基板載置台20係包含載置基板周緣部W1之周緣載置部件40、載置基板中央部W2之中央載置部件50、及支撐周緣載置部件40及中央載置部件50之支撐台55。再者,本實施形態係由周緣載置部件40與中央載置部件50構成基板載置部。周緣載置部件40係包含2個大致圓環形狀之周緣部41、以及使2個周緣部41以水平並排配置之狀態結合之周緣結合部43。又,中央載置部件50係包含2個大致圓板形狀之中央部51、以及使2個中央部51以水平並排配置之狀態結合之中央結合部53。此處,周緣部41之內周形狀與中央部51之形狀之關係係對應關係。即,如圖2(b)所示,於使周緣載置部件40與中央載置部件50疊合之情形時,構成為中央部51收納於大致圓環形狀之周緣部41之中心部之空間41a。因此,空間41a之平面(上表面)形狀與中央部51之平面(上表面)形狀為大致相同形狀,且,中央部51之上表面面積變得小於空間41a之上表面面積。又,周緣部41之上表面面積與中央部51之上表面面積成為大致相等之面積。
如上所述,由於周緣部41之形狀與中央部51之形狀之關係為對應關係,故而,如圖2(b)所示,於使周緣載置部件40與中央載置部件50疊合時,於周緣部41與中央部51之間,沿水平方向形成有環狀之間隙56。又,構成基板載置台20時之各部件(周緣載置部件40、中央載置部件50、支撐台55)之結合係藉由未圖示之螺釘部件而進行,中央結合部53與支撐台55亦藉由未圖示之螺釘部件而結合。此處,於周緣結合部43與中央結合部53之間,以沿鉛垂方向形成間隙59之方式進行各部件之結合,其結果,周緣載置部件40與中央載置部件50以互不接觸之狀態構成基板載置台20。
又,如圖2(a)所示,於周緣結合部43之下表面中央,在一個部位設置有用以將例如冷卻水等之冷媒作為調溫介質導入設置於周緣載置部件40內(周緣部41內)之周緣調溫流路60內之調溫介質導入口61,進而,用以將冷媒自周緣調溫流路60內排出之調溫介質排出口63鄰接地設置於調溫介質導入口61之兩側(圖2中近前側與裏側)之兩個部位。此處,周緣載置部件40係包含分別載置2片基板之2個周緣部41與周緣結合部43,且於2個周緣部41內分別形成有周緣調溫流路60,但形成於2個周緣部41內之周緣調溫流路60於設置於上述1處之調溫介質導入口61中連通。參照圖3,隨後描述該周緣調溫流路60之構成、形狀。
另一方面,於中央結合部53之靠近中央部51之兩端部(圖2中為左右方向之端部)附近,用以將冷媒導入至設置於中央載置部件50內(中央部51內)之中央調溫流路65內之導入口67、與用以使冷媒自中央調溫流路65排出之排出口69分別設置於各端部之一個部位。再者,參照圖4,隨後描述中央調溫流路65之構成、形狀。
又,於中央結合部53中央,如圖2(b)所示,當使周緣載置部件40與中央載置部件50疊合,構成基板載置台20時,於與設置於周緣結合部43之調溫介質導入口61及調溫介質排出口63疊合之位置上,設置有3個部位之孔部57(圖2(a)中由裏向外設為57a、57b、57c)。
繼而,如圖2(a)所示,於支撐台55上,將使周緣載置部件40與中央載置部件50疊合而構成基板載置台20時,分別連接於調溫介質導入口61、調溫介質排出口63、導入口67、排出口69之配管設置於與各導入口、排出口對應之位置上。再者,對於設置於支撐台55上之配管,於圖2(a)中分別圖示為對與調溫介質導入口61對應之配管標註符號61',對與調溫介質排出口63對應之配管標註符號63',對與導入口67對應之配管標註符號67',對與排出口69對應之配管標註符號69'。再者,調溫介質導入口61與配管61'之連接、及調溫介質排出口63與配管63'之連接係於上述孔部57(57a、57b、57c)中進行。因此,配管61'、63'係以與孔部57之高度(即,中央結合部53之厚度)同等程度之高度於支撐台55上表面,相較其他部分高出一階地突出設置。
如上所述,於2個周緣部41之內部,分別形成有周緣調溫流路60。圖3係周緣載置部件40之概略性平面剖面圖。再者,於圖3中,為了進行說明而利用虛線圖示周緣載置部件40。如圖3所示,周緣調溫流路60係構成為與設置於周緣結合部43上之調溫介質導入口61及調溫介質排出口63連接,且自調溫介質導入口61導入之作為例如冷卻水等之冷媒流經周緣調溫流路60而自調溫介質排出口63排出。又,周緣調溫流路60係包含沿著周緣部41之外側(沿著基板W之周緣部)延伸之周緣外側流路60a、沿著周緣部41之內側延伸之周緣內側流路60b、及連接周緣外側流路60a之一端部與周緣內側流路60b之一端部之連接流路60c。周緣外側流路60a之另一端部(未與連接流路60c連接之端部)係連接於調溫介質排出口63,且周緣內側流路60b之另一端部(未與連接流路60c連接之端部)連接於調溫介質導入口61。此處,周緣外側流路60a及周緣內側流路60b以分別圍繞周緣載置部件40大致一周之方式延伸,且連接流路60c與調溫介質排出口63構成為隔著調溫介質導入口61而與該調溫介質導入口61鄰接之位置關係。
又,於本實施形態中,周緣載置部件40係包含2個周緣部41、及使2個周緣部41結合之周緣結合部43。如圖3所示,於2個周緣部41中,分別形成有周緣調溫流路60,但該2個周緣調溫流路60連接於共通之1個調溫介質導入口61。即,構成如下,將冷媒自共通之調溫介質導入口61導入至2個周緣調溫流路60,且使穿過各周緣調溫流路60之冷媒分別自其它調溫介質排出口63排出。再者,於調溫介質排出口63,分別設置有例如包含閥與控制部之流量控制機構70,且利用流量控制機構70控制周緣調溫流路60內流動之冷媒之流量。分別設置於2個調溫介質排出口63之流量控制機構70為相互獨立控制。即,獨立地控制2個周緣調溫流路60內流動之冷媒之流量。
另一方面,於2個中央部51之內部,分別形成有中央調溫流路65。圖4係中央載置部件50之概略性平面剖面圖。再者,於圖4中,為了進行說明,而利用虛線圖示中央載置部件50。如圖4所示,中央調溫流路65係構成為與設置於中央結合部53之導入口67及排出口69連接,且使自導入口67導入之冷媒穿過中央調溫流路65,自排出口69排出。中央調溫流路65只要形成為遍及中央部51之整個表面之形狀即可,較佳為,例如於圖4所示之中央部51之內側與外側之兩側,蜿蜒地形成有大致圓環狀之流路之形狀。又,與上述調溫介質排出口63同樣地,於排出口69,分別設置有例如包含閥與控制部之流量控制機構70,且藉由流量控制機構70控制中央調溫流路65內流動之冷媒之流量。分別設置於2個排出口69之流量控制機構70為相互獨立控制,藉此,獨立地控制於2個中央調溫流路65內流動之冷媒之流量。
又,如圖1所示,周緣調溫流路60經由導入管83、排出管84連通至處理腔室10外部之調溫介質供給源80。藉由調溫介質供給源80之運行,而經由導入管83將冷媒自調溫介質導入口61供給至周緣調溫流路60內。又,穿過周緣調溫流路60之冷媒係經由排出管84自調溫介質排出口63向調溫介質供給源80排出。即,冷媒係於調溫介質供給源80與周緣調溫流路60之間進行循環。
又,如圖1所示,中央調溫流路65係經由導入管93、排出管94連通至處理腔室10外部之調溫介質供給源90。藉由調溫介質供給源90之運行,經由導入管93將冷媒自導入口67供給至中央調溫流路65內。又,穿過中央調溫流路65之冷媒係經由排出管94自排出口69向調溫介質供給源90排出。即,冷媒係於調溫介質供給源90與中央調溫流路65之間進行循環。再者,上述調溫介質供給源80與調溫介質供給源90係不同之調溫介質供給源,於調溫介質供給源80與調溫介質供給源90中循環之冷媒之溫度不同,且冷媒之溫度調節係於各調溫介質供給源中獨立地進行。
於以如上說明之方式構成之基板處理裝置1中進行基板處理之情形時,載置於基板載置台20上之基板W係由來自藉由形成於周緣載置部件40內及中央載置部件50內之調溫流路(周緣調溫流路60、中央調溫流路65)而調溫之基板載置台20之放射熱進行溫度調節。此時,利用設置於周緣載置部件40內部之周緣調溫流路60之冷卻能力,對基板周緣部W1進行調溫,並利用設置於中央載置部件50內部之中央調溫流路65之冷卻能力,將基板中央部W2冷卻,以此方式,基板周緣部W1與基板中央部W2分別藉由不同之調溫流路之冷卻能力來進行冷卻。
於基板處理中,在基板W上存在有來自比基板W更高溫度之處理腔室10之內壁之放射熱引起之供熱,尤其,基板周緣部W1與處理腔室10之內壁之距離短於基板中央部W2與處理腔室10之內壁之距離,因此,基板周緣部W1中供熱多於基板中央部W2。於基板處理中,處理中之基板W之表面溫度必需均一,因此,基板周緣部W1相較基板中央部W2,必需進行強冷卻(調溫)。如上所述,於本實施形態之基板處理裝置1中,基板載置台20係包含周緣載置部件40與中央載置部件50,且於周緣載置部件40與中央載置部件50之間分別形成有水平方向之間隙56與鉛垂方向之間隙59,故而周緣載置部件40與中央載置部件50相互為非接觸。此處,基板處理中之處理腔室10內為經真空抽吸之狀態,故而,上述間隙56、間隙59因真空隔熱,而使周緣載置部件40與中央載置部件50之溫度成為互不影響之狀態。因此,可以分別獨立地將周緣載置部件40與中央載置部件50控制為特定之溫度,從而相較基板中央部W2,可對基板周緣部W1進行強冷卻(調溫)。
即,藉由對設置於周緣載置部件40內之周緣調溫流路60之冷媒溫度或冷媒流量、與設置於中央載置部件50內之中央調溫流路65之冷媒溫度或冷媒流量相互獨立地進行溫度管理、流量控制,而使經周緣調溫流路60冷卻(調溫)之基板周緣部W1、與經中央調溫流路65冷卻(調溫)之基板中央部W2之溫度管理、溫度控制獨立且精密地進行。因此,可精密地使基板處理時之基板W之整體表面溫度均一化。例如,於因來自處理腔室10內壁之放射熱而使基板周緣部W1相較基板中央部W2成為高溫之情形時,將周緣調溫流路60之冷媒溫度調節為比中央調溫流路65之冷媒溫度低之溫度,且以周緣調溫流路60之冷媒流量變得大於中央調溫流路65之冷媒流量之方式,進行冷媒之流量控制,藉此,便可相較基板中央部W2,對基板周緣部W1進行例如強冷卻(調溫),從而使基板W整體之表面溫度均一。
再者,利用本實施形態之基板處理裝置1進行之基板處理並無特別限定,但可例示例如使用作為處理氣體之HF氣體、NH3 氣體,對形成於基板W表面之SiO2 膜進行處理,經過其後之加熱處理,並將SiO2 膜自基板W上去除之基板進行清洗處理等。
又,於在本實施形態所示之基板載置台20上載置2片基板,且同時對2片基板W進行基板處理之情形時,由於構成為自1個共通之調溫介質導入口61,將來自調溫介質供給源80之冷媒導入至2個周緣調溫流路60,且構成為利用將冷媒自各周緣調溫流路60排出之調溫介質排出口63進行調溫介質流量控制,故而,與2個周緣調溫流路中分別設置調溫介質導入口與調溫介質排出口之情形相比,可簡化用以導入冷媒之配管構成,實現空間效率之提昇與成本降低等。
以上,說明了本發明之實施形態之一例,但本發明並不限定於圖示之形態。作為本領域技術人員,當知於請求項記載之思想範疇內,可設想各種變更例或者修正例,且應理解該等變更例或者修正例,勿庸置疑屬於本發明之技術範圍。例如,於上述實施形態中,分別將周緣調溫流路60之形狀及中央調溫流路65之形狀圖示於圖3、圖4中,但周緣調溫流路60及中央調溫流路65之形狀並不限定於此,周緣調溫流路60只要形成為可以均一地對周緣部41之整面進行調溫之形狀即可,而且,中央調溫流路65只要形成為可以均一地對中央部51之整面進行調溫之形狀即可。
又,於上述實施形態中,作為流入周緣調溫流路60及中央調溫流路65之調溫介質,列舉說明了作為冷卻水等之冷媒,但本發明並不限定於此。例如,為了進行基板W之精密溫度控制,有時亦將與基板W之表面溫度大致同等之溫度之流體,作為調溫介質,使之流入至周緣調溫流路60及中央調溫流路65,進行基板W之溫度控制。該情形時,經加熱之特定溫度之調溫介質將流入至周緣調溫流路60及中央調溫流路65。
又,於上述實施形態中,關於周緣調溫流路60及中央調溫流路65之流路剖面形狀並無特別限定,但為了使冷媒之溫度有效地傳遞至周緣載置部件40及中央載置部件50,較佳為,使各流路(周緣調溫流路60、中央調溫流路65)之流路剖面形狀,形成為大於流路內表面與冷媒之接觸面積之形狀。
圖5係表示周緣調溫流路60之流路剖面形狀之一例之說明圖。如圖5所示,於本變形例之周緣調溫流路60中,於流路上表面形成有自沿著鉛垂方向延伸之該上表面突出之散熱片100。散熱片100之長度、寬度只要不阻礙冷媒於流路內流動即可,較佳為,根據流入周緣調溫流路60內之冷媒流量,合理地設定上述長度、寬度。又,設置於流路上表面之散熱片100之數量或散熱片100彼此之間隔亦可根據冷媒流量合理地設定。
如圖5所示,當於周緣調溫流路60之流路上表面設置散熱片100時,流路內部之面積相較普通之矩形剖面之流路擴大。因此,流路內流動之冷媒與流路內表面之接觸面積亦變大,從而更有效地進行冷媒與流路內表面之熱交換。即,流入周緣調溫流路60內之冷媒對周緣部41(周緣載置部件40)之冷卻(調溫)更有效地進行,其結果,使載置於周緣載置部件40之基板W之冷卻效率提昇。
又,於上述實施形態之基板處理裝置1中,亦可於周緣部41之外緣部設置進行基板W位置對準之聚焦環(focus ring)。圖6係於上述實施形態之基板處理裝置1中,在2個各周緣部41之外緣部設置聚焦環110之情形之說明圖。聚焦環110係沿著周緣部41之外緣部(外周附近)設置之圓環形狀之環,且其高度與基板W之厚度大致相同。
如上述實施形態所說明,於周緣載置部件40(周緣部41)中,基板W係由3處突起部30點支撐地載置。基板W之載置係於周緣部41上表面之特定位置上進行,但存在因某些外因(例如裝置之振動等)而使載置之基板W偏離周緣部41上表面之特定位置之虞。因而,如圖6所示,可藉由於周緣部41之外緣部設置聚焦環110,而避免載置於周緣部41上表面之特定位置上之基板W之位置偏離,使其對準特定之位置。又,亦於將基板W載置在周緣載置部件40時,可使基板W對準特定之位置。
進而,當進行基板處理時,將處理氣體導入至處理腔室10內,但可藉由設置聚焦環110,而實現處理氣體於基板W與周緣部41之間之間隙111中之流動穩定化,從而更有效地進行基板處理。
[產業上之可利用性]
本發明係應用於例如半導體製程等微細加工領域中使用之基板載置台、基板處理裝置及基板處理系統。
1...基板處理裝置
10...處理腔室
20...基板載置台
22...處理氣體供給機構
23...處理氣體導入部
25...真空泵
26...排氣口
28...支撐銷
29...升降機構
30...突起部
40...周緣載置部件
41...周緣部
43...周緣結合部
50...中央載置部件
51...中央部
53...中央結合部
55...支撐台
56、59...間隙
57...孔部
60...周緣調溫流路
60a...周緣外側流路
60b...周緣內側流路
60c...連接流路
61...調溫介質導入口
63...調溫介質排出口
65...中央調溫流路
67...導入口
69...排出口
70...流量控制機構
80、90...調溫介質供給機構
83、93...導入管
84、94...排出管
100...散熱片
110...聚焦環
111...間隙
W...基板
W1...基板周緣部
W2...基板中央部
圖1係基板處理裝置之剖面概略圖;
圖2係關於基板載置台之說明圖,圖2(a)係各部件(周緣載置部件、中央載置部件、支撐台)未經連結狀態下之基板載置台之正面立體圖,圖2(b)係使各部件連結狀態下之基板載置台之正面立體圖;
圖3係周緣載置部件之概略平面剖面圖;
圖4係中央載置部件之概略平面剖面圖;
圖5係表示周緣調溫流路之流路剖面形狀之一例之說明圖;及
圖6係於基板處理裝置中將聚焦環設置於周緣部時之說明圖。
1...基板處理裝置
10...處理腔室
20...基板載置台
22...處理氣體供給機構
23...處理氣體導入部
25...真空泵
26...排氣口
28...支撐銷
29...升降機構
29a...驅動部
29b...升降部
30...突起部
40...周緣載置部件
50...中央載置部件
55...支撐台
56、59...間隙
60、62...周緣調溫流路
60a...周緣外側流路
60b...周緣內側流路
75...間隙
80、90...調溫介質供給機構
83、93...導入管
84、94...排出管
W...基板
W1...基板周緣部
W2...基板中央部

Claims (9)

  1. 一種基板處理裝置,其係於真空處理空間中處理基板者,且包含載置至少2片以上之基板之基板載置台,上述基板載置台係包含:數量與被載置之基板數量對應之基板載置部、以及將基板載置部予以結合的結合部,於上述基板載置部,相互獨立地形成有對經載置之基板之中央部進行調溫之中央調溫流路、及對基板之周緣部進行調溫之周緣調溫流路,於上述結合部,設置有1個使調溫介質導入至上述周緣調溫流路之調溫介質導入口,且設置有數量恰與被載置之基板數量對應之使調溫介質自上述周緣調溫流路中排出之調溫介質排出口,其中上述周緣調溫流路包含:周緣內側流路,其係一端部連接於上述調溫介質導入口,且沿著基板之周緣部延伸;周緣外側流路,其係一端部連接於上述調溫介質排出口,且沿著基板之周緣部延伸;以及連接流路,其係連接上述周緣內側流路之另一端部與上述周緣外側流路之另一端部;且上述連接流路與上述調溫介質排出口係分別配置為隔著上述調溫介質導入口而鄰接於該調溫介質導入口。
  2. 如請求項1之基板處理裝置,其中上述中央調溫流路與上述周緣調溫流路分別連接於不同之調溫介質供給源。
  3. 如請求項1之基板處理裝置,其中於上述中央調溫流路及上述周緣調溫流路之內部上表面,設置有自該上表面突出之散熱片。
  4. 如請求項1之基板處理裝置,其中於上述調溫介質排出口分別設置有流量控制機構。
  5. 如請求項4之基板處理裝置,其中上述流量控制機構係分別獨立控制。
  6. 如請求項1之基板處理裝置,其中上述基板載置部包含:周緣載置部件,其係載置基板之周緣部並進行溫度控制;及中央載置部件,其係載置基板之中央部並進行溫度控制;且上述基板載置台進一步包含支撐上述周緣載置部件與上述中央載置部件的支撐台;於上述周緣載置部件之內部形成有上述周緣調溫流路,於上述中央載置部件之內部形成有上述中央調溫流路,於上述周緣載置部件與上述中央載置部件之間形成有間隙,且上述周緣載置部件與上述中央載置部件為非接觸。
  7. 如請求項6之基板處理裝置,其中上述周緣載置部件係包含2個以上為環狀的周緣部,上述中央載置部件係包 含形狀與上述周緣部之內周對應之2個以上之中央部,上述結合部包含:使該周緣部彼此結合之周緣結合部、以及使該中央部彼此結合之中央結合部,且上述周緣部與上述中央部之間於水平方向形成有環狀之間隙,上述周緣結合部與上述中央結合部之間於鉛垂方向形成有間隙,且上述周緣結合部及上述中央結合部分別結合於上述支撐台。
  8. 如請求項1之基板處理裝置,其中於上述周緣部之外緣部設置有進行基板之位置對準之聚焦環。
  9. 如請求項7之基板處理裝置,其中上述調溫介質導入口及上述調溫介質排出口係設置於上述周緣結合部。
TW100122507A 2010-06-30 2011-06-27 Substrate processing device TWI451525B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010149656A JP5119297B2 (ja) 2010-06-30 2010-06-30 基板処理装置

Publications (2)

Publication Number Publication Date
TW201205717A TW201205717A (en) 2012-02-01
TWI451525B true TWI451525B (zh) 2014-09-01

Family

ID=45398803

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100122507A TWI451525B (zh) 2010-06-30 2011-06-27 Substrate processing device

Country Status (6)

Country Link
US (1) US8741065B2 (zh)
JP (1) JP5119297B2 (zh)
KR (1) KR101299891B1 (zh)
CN (1) CN102315143B (zh)
DE (1) DE102011108634B4 (zh)
TW (1) TWI451525B (zh)

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5101665B2 (ja) * 2010-06-30 2012-12-19 東京エレクトロン株式会社 基板載置台、基板処理装置および基板処理システム
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013184276A (ja) * 2012-03-09 2013-09-19 Disco Corp バイト切削方法
JPWO2013187192A1 (ja) * 2012-06-13 2016-02-04 東京エレクトロン株式会社 基板載置台および基板処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6863041B2 (ja) * 2017-04-21 2021-04-21 東京エレクトロン株式会社 基板加熱装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR101981983B1 (ko) * 2017-08-09 2019-05-24 (주)엘라이트 Led 히터
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) * 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
CN108179383A (zh) * 2017-12-29 2018-06-19 武汉华星光电半导体显示技术有限公司 冷却系统及蒸镀机
KR102458733B1 (ko) * 2018-01-09 2022-10-27 삼성디스플레이 주식회사 플라즈마 처리 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6592675B2 (en) * 2001-08-09 2003-07-15 Moore Epitaxial, Inc. Rotating susceptor
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US20080092818A1 (en) * 2002-11-29 2008-04-24 Tokyo Electron Limited Thermally zoned substrate holder assembly

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07245297A (ja) * 1994-03-07 1995-09-19 Nippon Steel Corp ウエハ冷却装置
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
JP2000216140A (ja) * 1999-01-20 2000-08-04 Hitachi Ltd ウエハステ―ジおよびウエハ処理装置
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US20050051098A1 (en) 2003-09-05 2005-03-10 Tooru Aramaki Plasma processing apparatus
JP2006261541A (ja) * 2005-03-18 2006-09-28 Tokyo Electron Ltd 基板載置台、基板処理装置および基板処理方法
JP2007067037A (ja) * 2005-08-30 2007-03-15 Hitachi High-Technologies Corp 真空処理装置
JP2007242648A (ja) 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
WO2010014384A1 (en) * 2008-07-31 2010-02-04 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
JP2010149656A (ja) 2008-12-25 2010-07-08 Ichikoh Ind Ltd ドアミラー制御装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6592675B2 (en) * 2001-08-09 2003-07-15 Moore Epitaxial, Inc. Rotating susceptor
US20080092818A1 (en) * 2002-11-29 2008-04-24 Tokyo Electron Limited Thermally zoned substrate holder assembly
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor

Also Published As

Publication number Publication date
DE102011108634B4 (de) 2013-07-25
TW201205717A (en) 2012-02-01
US8741065B2 (en) 2014-06-03
KR101299891B1 (ko) 2013-08-23
CN102315143A (zh) 2012-01-11
CN102315143B (zh) 2014-04-09
KR20120002460A (ko) 2012-01-05
US20120000629A1 (en) 2012-01-05
DE102011108634A1 (de) 2012-02-02
JP5119297B2 (ja) 2013-01-16
JP2012015286A (ja) 2012-01-19

Similar Documents

Publication Publication Date Title
TWI451525B (zh) Substrate processing device
TWI436447B (zh) A substrate stage, a substrate processing device, and a substrate processing system
KR101719444B1 (ko) 기판 지지 장치
US10622229B2 (en) Electrostatic chuck with independent zone cooling and reduced crosstalk
KR101598516B1 (ko) 가열식 샤워헤드 조립체
US11037811B2 (en) Electrostatic chuck and semiconductor/liquid crystal manufacturing equipment
US9299595B2 (en) Susceptor heater and method of heating a substrate
KR101299845B1 (ko) 접합 장치
US20150332942A1 (en) Pedestal fluid-based thermal control
US20150030766A1 (en) Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
US10586718B2 (en) Cooling base with spiral channels for ESC
KR101299779B1 (ko) 접합 장치 및 접합 방법
TWM458653U (zh) 用於轉移基板及限制自由基之設備
TWI449112B (zh) 平板、具有平板之基板之溫度調整裝置以及用以處理具有平板之基板之裝置
KR20060133656A (ko) 반도체 설비용 정전 척의 냉각 장치
JP2010182906A (ja) 基板処理装置
US10763152B2 (en) Substrate support unit, heat treatment unit, and substrate treating apparatus including the same
TW201630108A (zh) 用於電漿處理的雙區式加熱器
CN113604786A (zh) 半导体设备的加热器及半导体设备
KR101220307B1 (ko) 서셉터 및 이를 구비하는 기판 처리 장치
TW201322363A (zh) 快速控制靜電吸盤溫度的裝置及方法
JPH0730027A (ja) 基板の冷却装置
CN212991070U (zh) 静电卡盘和半导体设备
KR20240056227A (ko) 기판 처리 장치 및 기판 처리 장치의 냉각 효율 개선 방법
CN117917756A (zh) 基板处理装置和用于提高基板的冷却效率的方法