TWI428962B - Plasma cleaning method and plasma CVD method - Google Patents

Plasma cleaning method and plasma CVD method Download PDF

Info

Publication number
TWI428962B
TWI428962B TW096134906A TW96134906A TWI428962B TW I428962 B TWI428962 B TW I428962B TW 096134906 A TW096134906 A TW 096134906A TW 96134906 A TW96134906 A TW 96134906A TW I428962 B TWI428962 B TW I428962B
Authority
TW
Taiwan
Prior art keywords
plasma
gas
fluorine
hydrogen
processing container
Prior art date
Application number
TW096134906A
Other languages
English (en)
Other versions
TW200830375A (en
Inventor
Masayuki Kohno
Tatsuo Nishita
Toshio Nakanishi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200830375A publication Critical patent/TW200830375A/zh
Application granted granted Critical
Publication of TWI428962B publication Critical patent/TWI428962B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Epidemiology (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Public Health (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

電漿潔淨方法及電漿CVD方法
本發明關於針對在被處理基板表面沈積氮化矽膜的電漿CVD(Chemical Vapor Deposition)裝置之處理容器內進行潔淨(cleaning)的電漿潔淨方法及電漿CVD方法。
氮化矽膜作為各種半導體裝置中之絕緣膜或保護膜被使用。此種氮化矽膜,習知者可藉由例如使用作為原料氣體之SiH4 等之含矽化合物之氣體,及氮或氨等之含氮化合物之氣體,藉由電漿CVD等而形成。
上述進行電漿CVD之電漿CVD裝置,係藉由重複進行被處理基板之成膜,而於腔室內之被處理基板以外之部位亦沈積氮化矽膜。上述被形成之腔室內之沈積物超過一定膜厚時會帶給電漿CVD裝置之成膜不良影響。例如腔室內形成之沈積物成為影響腔室內之氣體流動或熱分布而降低成膜速度或成膜之均勻性的主要原因。另外亦有可能自沈積物產生微粒而附著於被處理基板。因此需要定期進行腔室內之潔淨,除去腔室內形成之沈積物。因此例如使用含有NF3 等氟化合物的反應性氣體之電漿,進行腔室內部之蝕刻的電漿潔淨方法的發明被提案(例如特開平7-201738號公報)。
如特開平7-201738號公報之揭示,電漿潔淨通常使用對沈積物具有蝕刻作用的NF3 等之氣體種,藉由潔淨氣體之電漿進行腔室內形成之沈積物之乾蝕刻而除去。但是使用含有NF3 氣體等之含氟氣體進行潔淨時,腔室內殘留之氟會對其後之成膜處理帶來不良影響,因此需要除去氟。因此,於專利文獻1設置,於含有NF3 氣體之處理後,藉由含有NH3 之還元性氣體之電漿而除去腔室內殘留之氟的工程。
上述特開平7-201738號公報揭示之技術,雖設置於NF3 氣體之潔淨處理後除去腔室內殘留之氟的工程,但是針對可獲得實用上充分之潔淨效果之同時,可快速除去殘留之氟的高效率的潔淨處理條件卻難謂有充分之檢討。
另外,如上述技術之包含多數工程的潔淨處理時,難以把握各工程的終點,誤判終點而進行過度潔淨時,腔室內部構件、例如載置被處理基板之載置台(承受台)或保護被處理基板之保護環等會被蝕刻而受到電漿損傷,減短構件壽命。特別是,欲提升潔淨效果而進行長時間潔淨時,隨電漿損傷之增強之同時,會降低作業效率。反之,抑制電漿損傷而提升作業效率時,潔淨變為不足而會產生微粒、對成膜造成不良影響。
本發明目的在於提供可以高作業效率確實減低殘留之氟的電漿潔淨方法。
本發明另一目的在於提供容易把握潔淨的終點,可以盡量抑制對腔室內部構件之電漿損傷的電漿潔淨方法。
本發明另一目的在於提供包含該電漿潔淨方法的電漿CVD方法。
本發明第1觀點提供之電漿潔淨方法,係對電漿處理裝置之中沈積沈積物的處理容器內使用潔淨氣體之電漿進行潔淨者,其特徵為包含:於上述處理容器內導入含NF3 氣體之潔淨氣體而形成電漿,除去上述處理容器內之沈積物的步驟;及除去上述沈積物之後,於上述處理容器內導入含氫氣體之氣體而形成電漿,除去上述處理容器內殘留之氟的步驟。
於上述第1觀點可以另包含:除去氟之後,於上述處理容器內導入含稀有氣體之氣體而形成電漿,除去上述處理容器內殘留之氫的步驟。
又,亦可重複實施上述除去氟之步驟及上述除去氫之步驟。
又,除去上述沈積物時、除去上述氟時、及除去上述氫時,可以分別藉由監控電漿之發光而檢測出終點。
又,上述電漿處理裝置可使用,藉由具有多數縫隙之平面天線於上述處理容器內導入微波形成電漿,而於被處理基板表面沈積氮化矽膜的電漿CVD裝置。
本發明第2觀點提供之電漿潔淨方法,係對具有多數縫隙之平面天線的微波處理裝置之中沈積沈積物的處理容器內使用潔淨氣體之電漿進行潔淨者,其特徵為包含:於上述處理容器內導入含NF3 氣體之潔淨氣體的步驟;藉由上述平面天線將微波供給至上述處理容器內,於上述處理容器內形成上述潔淨氣體之電漿而產生F(氟)之活化種的步驟;使上述處理容器內之上述沈積物與上述氟之活化種反應而使上述沈積物揮發的步驟;及使上述揮發之沈積物成份由上述處理容器排出的步驟。
於上述第2觀點較好是另包含:於上述揮發之沈積物成份排出之後,於上述處理容器內導入含氫氣體之氣體而形成電漿,除去上述處理容器內殘留之氟的步驟。
本發明第3觀點提供之電漿CVD方法,係對電漿CVD裝置之處理容器內之被處理基板表面沈積氮化矽膜者,其特徵為包含:藉由導入上述處理容器內之包含含氮氣體與含矽氣體的氣體形成電漿,藉由該電漿於被處理基板表面沈積氮化矽膜的步驟;於上述處理容器內導入含NF3 氣體之潔淨氣體而形成電漿,除去上述處理容器內之沈積物的步驟;除去上述沈積物之後,於上述處理容器內導入含氫氣體之氣體而形成電漿,除去上述處理容器內殘留之氟的步驟;及除去氟之後,再於上述處理容器內導入含稀有氣體之氣體而形成電漿,除去上述處理容器內殘留之氫的步驟。
於上述第3觀點可以重複實施上述除去氟之步驟及上述除去氫之步驟。
又,除去氫之後,可以再度進行上述電漿CVD工程。
本發明第4觀點提供之記憶媒體,係記憶有程式者,該程式係於電腦上動作,在對電漿處理裝置之處理容器內使用潔淨氣體之電漿進行潔淨時控制上述電漿處理裝置者,其特徵為:上述程式執行時係使電腦控制上述電漿處理裝置進行包含以下步驟的電漿潔淨方法:於上述處理容器內導入含NF3 氣體之潔淨氣體而形成電漿,除去上述處理容器內之沈積物的步驟;及除去上述沈積物之後,於上述處理容器內導入含氫氣體之氣體而形成電漿,除去上述處理容器內殘留之氟的步驟。
本發明第5觀點提供之電漿處理裝置,其特徵為具備:處理容器,係使用電漿處理被處理基板,可被真空排氣;平面天線,具有多數縫隙,用於對上述處理容器內導入微波;氣體供給機構,用於對上述處理容器內供給潔淨氣體;及控制部,用於控制各構成部以使包含以下步驟的電漿潔淨方法被進行:於上述處理容器內導入含NF3 氣體之潔淨氣體而形成電漿,除去上述處理容器內之沈積物的步驟;及除去上述沈積物之後,於上述處理容器內導入含氫氣體之氣體而形成電漿,除去上述處理容器內殘留之氟的步驟。
以下參照圖面具體說明本發明實施形態。圖1為可實施本發明之電漿潔淨方法及電漿CVD方法之電漿處理裝置之一例之模式斷面圖。該電漿處理裝置100構成為RLSA微波電漿處理裝置,可藉由具有多數縫隙的平面天線、特別是RLSA(Radial Line Slot Antenna)將微波導入處理容器內而產生電漿,可以獲得高密度、且低電子溫度的微波激發電漿,可藉由具有1×1010 ~5×1012 /cm3 之電漿密度、且0.7~2eV之低電子溫度之電漿對膜進行損傷極少的處理。因此,可使用於各種半導體裝置之製程中藉由電漿CVD進行氮化矽膜之成膜處理等。
上述電漿處理裝置100具有以氣密構成、被接地之大略圓筒狀之腔室1。腔室1亦可為角筒狀。於腔室1之底壁1a之大略中央部形成圓形開口部10,於底壁1a設有和開口部10連通之朝下方突出的排氣室11。排氣室11係介由排氣管23連接於排氣裝置24。
於腔室1內設有高導熱性之AlN等陶瓷構成之載置台2用於水平支持被處理基板之矽晶圓(以下稱為晶圓)W。該載置台2係由排氣室11底部中央延伸至上方的圓筒狀AlN等陶瓷構成之支撐構件3支撐。於載置台2設置覆蓋環4用於覆蓋其外緣部,導引晶圓W。覆蓋環4為由例如石英、AlN、Al2 O3 、SiN等材質構成之構件。
又,於載置台2埋入電阻加熱型加熱器5,藉由加熱器電源5a對加熱器5供電而加熱載置台2,以該熱均勻加熱被處理基板之晶圓W。於載置台2配備熱電耦6可將晶圓W之加熱溫度控制於例如室溫至900℃之範圍。於載置台2,相對於載置台2之表面以可突出/沒入方式設有晶圓支撐銷(未圖示)用於支撐、升降晶圓W。
又,於腔室1之內周設有石英構成之圓筒狀套筒7,可防止腔室構成材料引起之金屬污染。於載置台2之外周側以環狀設有具有多數排氣孔8a的緩衝板8用於對腔室1內施予均勻排氣,該緩衝板8藉由多數支柱9予以支撐。
於載置台2,相對於載置台2之表面以可突出方式設有晶圓支撐銷(未圖示)用於支撐、升降晶圓W。
於後述上板27及腔室1側壁設有環狀之上下2段之氣體導入部15a、15b,於氣體導入部15a、15b連接氣體供給系16可供給成膜原料氣體、電漿激發氣體及潔淨氣體。氣體導入部15a、15b可以配置為噴嘴狀或噴頭狀。
氣體供給系16具有例如含氮氣體供給源17a、惰性氣體供給源17b、含矽氣體供給源17c、惰性氣體供給源17d、NF3 氣體供給源17e及氫氣體供給源17f。含氮氣體供給源17a、惰性氣體供給源17b,係連接於上段之氣體導入部15a,含矽氣體供給源17c、惰性氣體供給源17d、NF3 氣體供給源17e及氫氣體供給源17f,係連接於下段之氣體導入部15b。又,NF3 氣體亦可連接、導入於上段之氣體導入部15a。
作為成膜原料氣體之含矽氣體可使用例如矽烷(SiH4 )、乙矽烷(Si2 H6 )、TSA(三矽烷基胺)等,其中Si2 H6 較好。作為其他成膜原料氣體之含氮氣體可使用例如N2 、氨、MMH(單甲基鸊)等之鸊衍生物。作為惰性氣體可使用例如N2 氣體、或稀有氣體等。作為電漿激發氣體之稀有氣體等可使用例如Ar、Kr、Xe、He等氣體,但是就成本及電漿穩定性而言以Ar較好。
含NF3 氣體及氫氣體係和上述稀有氣體同時為進行電漿處理裝置100之腔室1內之潔淨時使用的潔淨氣體。
含氮氣體及惰性氣體係由含氮氣體供給源17a及惰性氣體供給源17b,介由氣體管線20a到達氣體導入部15a,由氣體導入部15a被導入腔室1內。另外,含矽氣體、惰性氣體、NF3 氣體及氫氣體分別介由氣體管線20b到達氣體導入部15b,由氣體導入部15b被導入腔室1內。於各氣體供給源連接之各氣體管線20a、20b設有流量控制器21及其前後之開/關閥22,構成為可控制供給之氣體之切換或流量。
於排氣室11側面連接排氣管23,於排氣管23連接含有高速真空泵之排氣裝置24。藉由排氣裝置24之動作可使腔室1內之氣體介由緩衝板8均勻排出至排氣室11之空間11a內,介由排氣管23而被排氣。依此則,腔室1內可高速減壓至特定真空度、例如0.133Pa。
於腔室1之側壁設有搬出入口25,可於鄰接電漿處理裝置100之搬送室(未圖示)之間進行晶圓W之搬出入;及開/關該搬出入口25的柵閥26。
腔室1之上部成為開口部,於該開口部接合環狀之上板27。上板27之內周下部,係朝內側之腔室1內空間突出,形成環狀支撐部27a。於該支撐部27a上,使介電體、例如石英或AL2 O3 、AlN等之陶瓷構成,可透過微波的微波透過板28,介由密封構件29以氣密狀設置。因此,腔室1保持於氣密。
於透過板28上方,以和載置台2呈對向配置圓板狀之平面天線板31。平面天線板31之形狀不限定於圓板狀,可為四角板狀。平面天線板31卡合於腔室1之側壁上端。平面天線板31由例如表面鍍金或鍍銀之銅板或鋁板構成,以特定圖案貫穿形成多數縫隙狀之微波放射孔32用於放射微波。
該微波放射孔32,如圖2所示構成長形狀者呈對配置,典型為呈對之微波放射孔32彼此間以「T」字狀配置,彼等之對以多數、同心圓狀配置。微波放射孔32之長度或配列間隔依微波波長(λ g)決定,例如微波放射孔32之間隔配置為λ g/4、λ g/2或λ g。又,於圖2,以同心圓狀形成之鄰接微波放射孔32彼此間之間隔以△r表示。又,微波放射孔32可為圓形、圓弧形等其他形狀。微波放射孔32之配置形態並未特別限定,除同心圓狀以外,可配置為例如螺旋狀、放射狀。
於平面天線板31上面設有介電率大於真空之遲波構件33。該遲波構件33,於真空中可使微波波長變長,因此具有縮短微波波長調整電漿之功能。又,於平面天線板31與透過板28之間,或於遲波構件33與平面天線板31之間分別使其接觸或分離均可,接觸較好。
於腔室1之上面,覆蓋彼等平面天線板31及遲波構件33而設置例如鋁或不鏽鋼等金屬構件構成、具有導波管功能之屏蔽蓋體34。腔室1之上面與屏蔽蓋體34藉由密封構件35密封。於屏蔽蓋體34形成冷卻水流路34a。藉由流通冷卻水使屏蔽蓋體34、遲波構件33、平面天線板31、透過板28冷卻。又,屏蔽蓋體34被接地。
於屏蔽蓋體34上壁中央形成開口部36。於該開口部36連接導波管37。該導波管37之端部介由匹配電路38連接於微波產生裝置39用於產生微波。依此則,微波產生裝置39產生之例如頻率2.45GHz之微波介由導波管37被傳送至上述平面天線板31,該微波之頻率可使用8.35GHz、1.98GHz等。
導波管37具有:斷面圓形狀之同軸導波管37a,其自屏蔽蓋體34之開口部36朝上方延伸,及矩形導波管37b,其介由模態轉換器40連接於同軸導波管37a上端部而朝水平方向延伸。矩形導波管37b與同軸導波管37a之間的模態轉換器40,係具有將在矩形導波管37b內以TE模態傳送的微波轉換為TEM模態之功能。於同軸導波管37a中心延伸設置內導體41,內導體41於其下端部連接固定於平面天線板31之中心。依此則,微波可介由同軸導波管37a之內導體41以放射狀有效、均勻地傳送至平面天線板31。
於電漿處理裝置100之腔室1之側壁設置檢測部60,用於檢測腔室1內之電漿之發光。檢測部60,具有受光部及單色光鏡等之分光測定部(未圖式),介由設於腔室1之側壁的窗61,可監控腔室1內產生之電漿之特定波長之發光。於腔室1內設有石英構成之圓筒狀套筒7,經由窗61及套筒7可測定電漿中之自由基之發光強度。檢測部60之設定位置不特別限定,只要可穩定測定即可,可為任一位置。
電漿處理裝置100之各構成部,係連接於具備微處理器(電腦)之製程控制器50而被控制。於製程控制器50連接鍵盤,用於工程管理者管理電漿處理裝置100之指令輸入操作,及使用者介面51,由使電漿處理裝置100之稼動狀況可視化予以顯示的顯示器等構成。
又,於製程控制器50連接記憶部52,記憶部52儲存有:藉由製程控制器50之控制來實現對電漿處理裝置100執行之各種處理用的控制程式(軟體)或處理條件資料等被記錄之處理程式。
必要時可依使用者介面51之指示將任意之處理程式由記憶部52叫出於製程控制器50執行,在製程控制器50控制下而於電漿處理裝置100進行所要處理。又,上述控制程式或處理條件資料等之處理程式,可使用儲存於電腦可讀取記憶媒體,例如CD-ROM、硬碟、軟碟、快閃記憶體等之狀態者,或者由其他裝置、例如介由專用線路隨時傳送而被線上利用者。
在製程控制器50,係藉由連接手段(未圖式)連接於檢測部60,因3進行檢測部60檢測出之電漿中之特定波長之發光之解析,而判斷潔淨處理中各工程之終點。可依據製程控制器50之指示,自動切換例如潔淨處理中之各工程,或將各工程終了之意旨顯示於使用者介面51之顯示器。
上述構成之電漿處理裝置100中,可於800℃以下低溫進行對底層膜無損傷之電漿處理之同時,可實現極佳之電漿均勻性,可穩定實現製程之均勻性。
以下說明電漿處理裝置100中實施之電漿CVD處理及電漿潔淨處理,圖3為電漿CVD處理之後接續進行電漿潔淨處理之時序圖。圖3表示對1批次之最後之晶圓(第25片)之電漿CVD處理及其後接續進行之電漿潔淨處理中之氣體切換及微波輸出之開/關(ON/OFF)之時序。
於電漿CVD處理,可依以下順序藉由電漿CVD法於晶圓W之表面進行沈積氮化矽膜之處理。
首先,設定柵閥26為開,由搬出入口25將晶圓W搬入腔室1內,載置於載置台2上。由氣體供給系16之含氮氣體供給源17a及含矽氣體供給源17c將含氮氣體及含矽氣體以特定流量分別介由氣體導入部15a、15b導入腔室1內。
之後,將微波產生裝置39之微波經由匹配電路38導入導波管37,依序使通過矩形導波管37b、模態轉換器40、及同軸導波管37a介由內導體41供給至平面天線板31,由平面天線板31之縫隙介由透過板28放射至腔室1內之晶圓W之上方空間。微波於矩形導波管37b內以TE模態傳送,該TE模態之微波於模態轉換器40被轉換為TEM模態,於同軸導波管37a內朝平面天線板31傳送出。此時之微波輸出可設為例如約500~3000W。
由平面天線板31經由透過板28放射至腔室1的微波使腔室1內形成電磁場,含氮氣體、含矽氣體分別被電漿化。該微波激發之電漿,藉由微波由平面天線板31之多數縫隙、亦即微波放射孔32放射,而成為大略1×1010 ~5×1012 /cm3 之高密度,且於晶圓W附近成為大略1.2eV以下之低電子溫度電漿。如此形成之微波激發電漿為,離子等引起之對底層膜之電漿損傷較少者。電漿中之原料氣體之解離被進行,藉由SiH、NH、N等之活化種之反應,氮化矽Six Ny (其中,x、y未必依據化學量論被決定,可依據條件取不同值)之薄膜(沈積物)於晶圓W之表面及腔室1內壁及腔室1內構件表面被沈積。
於電漿CVD成膜,作為含氮氣體使用NH3 氣體,作為含矽氣體使用Si2 H6 氣體時,NH3 氣體之流量較好是100~3000mL/min(sccm),更好是400~1000mL/min(sccm),Si2 H6 氣體之流量較好是1~30mL/min(sccm),更好是5~20mL/min(sccm)。又,使用NH3 氣體及Si2 H6 氣體時,處理壓力較好是13.3~400Pa,更好是40~133.3Pa。又,電漿CVD之處理溫度,作為載置台2之加熱溫度較好是300℃以上,更好是400~500℃。
又,例如作為含氮氣體使用N2 氣體,作為含矽氣體使用Si2 H6 氣體時,N2 氣體之流量較好是100~3000mL/min(sccm),更好是800~2000mL/min(sccm),Si2 H6 氣體之流量較好是1~30mL/min(sccm),更好是1~10mL/min(sccm)。又,使用N2 氣體及Si2 H6 氣體時,處理壓力較好是1.3~667Pa,更好是2.6~133.3Pa。又,電漿CVD之處理溫度,作為載置台2之加熱溫度較好是300℃以上,更好是400~600℃。
接著進行電漿處理裝置100之腔室1內之電漿潔淨處理。電漿潔淨處理可依圖4之步驟S1~S3進行。首先,於步驟S1,除去腔室1內之上述沈積物之後,於步驟S2、S3進行腔室1內之調整(conditioning)。以下詳細說明各步驟之內容。
首先,打開閘閥26由搬出入口25將虛擬晶圓Wd搬入腔室1內,載置於載置台2。又,不使用虛擬晶圓Wd亦可。由氣體供給系16之惰性氣體供給源17b、17d及NF3 氣體供給源17e將Ar氣體及NF3 氣體以特定流量分別介由氣體導入部15a、15b導入腔室1內。如本實施形態使Ar氣體介由氣體導入部15a、15b分為上下2段導入,可於腔室1內形成均勻之電漿,可提升潔淨效率。
之後,使微波產生裝置39之微波經由上述同樣路徑放射至腔室1內之虛擬晶圓Wd之上方空間。此時之微波輸出,以電力密度表示為例如1.67~4.18W/cm2 ,300mm晶圓時之絕對值可設為例如約2000~5000W。由平面天線板31經由透過板28放射至腔室1的微波使腔室1內形成電磁場,Ar氣體、NF3 氣體分別被電漿化。NF3 氣體之電漿中,產生具有蝕刻作用之活化種之F(氟)自由基(原子狀氟),藉由該F自由基可蝕刻除去腔室1內形成之沈積物(Six Ny )(步驟S1)。
於步驟S1之沈積物除去工程中,NF3 氣體之流量較好是200~1000mL/min(sccm),更好是400~600mL/min(sccm),Ar氣體之流量以氣體導入部15a、15b合計較好是200~1000mL/min(sccm),更好是400~800mL/min(sccm)。又,處理壓力較好是40~133.3Pa,更好是66.7~106.6Pa。又,處理溫度為300℃以上,較好是例如400~600℃。
特定時間經過後,停止微波產生裝置39之微波供給,之後,停止惰性氣體供給源17b、17d及NF3 氣體供給源17e之氣體供給,結束步驟S1之處理。於步驟S1之沈積物除去工程中,藉由含有NF3 氣體之處理氣體之電漿的使用,可以有效除去腔室1內形成之沈積物(SiN)。
之後,藉由使用NF3 氣體之沈積物除去工程實施除去腔室1內殘留之氟的氟除去工程(步驟S2)。亦即,由氣體供給系16之惰性氣體供給源17b、17d及氫氣體供給源17f將Ar氣體及H2 氣體以特定流量分別介由氣體導入部15a、15b導入腔室1內。此情況下,使Ar氣體介由氣體導入部15a、15b分為上下2段導入,可於腔室1內形成均勻之電漿。
之後,使微波產生裝置39之微波經由上述同樣路徑放射至腔室1內之虛擬晶圓Wd之上方空間。此時之微波輸出,以電力密度表示為例如0.41~2.51W/cm2 ,300mm晶圓時之絕對值可設為例如約500~3000W。由平面天線板31經由透過板28放射至腔室1的微波使腔室1內形成電磁場,Ar氣體、H2 氣體分別被電漿化。產生之H之離子、自由基和腔室1內殘留之氟反應而形成HF,藉由排氣管23被排氣。如此則,腔室1內殘留之氟被除去。此情況下,就縮小腔室1內部之損傷觀點而言,較好是以較沈積物除去工程為低之輸出形成電漿。
於步驟S2之氟除去工程中,H2 氣體之流量較好是10~1000mL/min(sccm),更好是30~200mL/min(sccm),Ar氣體之流量以氣體導入部15a、15b合計較好是50~2000mL/min(sccm),更好是600~1200mL/min(sccm)。H2 /Ar之流量比較好是0.005~2,更好是.0025~0.33。又,處理壓力較好是6.7~133.3Pa,更好是13.3~40Pa。又,處理溫度為300℃以上,較好是例如400~600℃。
氟除去工程開始後經過特定時間後,停止微波產生裝置39之微波供給,之後,停止惰性氣體供給源17b、17d及氫氣體供給源17f之氣體供給。於步驟S2之氟除去工程中,藉由含有H2 氣體之處理氣體之電漿的使用,可以HF之形態有效快速排出腔室1內殘留之氟,因此其後,於晶圓W形成氮化矽膜時可抑制氟之混入膜中,且可實施高效率之處理。
於步驟S2之氟除去工程中,較好是於每一特定時間藉由切換微波輸出之ON/OFF,而於腔室1內例如每隔10~360秒以間歇式形成Ar氣體及H2 氣體之電漿而實施週期處理。此情況下,流入Ar氣體及H2 氣體之狀態下設定電漿之ON/OFF,而交互進行電漿產生及潔淨(purge)亦可,或設定電漿與氣體同時為ON/OFF,而交互進行電漿產生及抽真空亦可。
之後,實施除去腔室1內殘留之氫的氫除去工程(步驟S3)。亦即,由氣體供給系16之惰性氣體供給源17b、17d將Ar氣體及H2 氣體以特定流量分別介由氣體導入部15a、15b導入腔室1內。此情況下,使Ar氣體介由氣體導入部15a、15b分為上下2段導入,可於腔室1內形成均勻之電漿。
之後,使微波產生裝置39之微波經由上述同樣路徑放射至腔室1內之虛擬晶圓Wd之上方空間。此時之微波輸出,以電力密度表示為例如0.41~2.51W/cm2 ,300mm晶圓時之絕對值可設為例如約500~3000W。由平面天線板31經由透過板28放射至腔室1的微波使腔室1內形成電磁場,Ar氣體被電漿化。Ar氣體之電漿藉由其之強濺鍍作用使腔室1內壁面或腔室1內部構件附著之氫游離,腔室1內游離之氫藉由排氣管23被排氣。如此則,腔室1內殘留之氫被除去。此情況下,就減少腔室1內部之損傷觀點而言,較好是以較沈積物除去工程為低之輸出形成電漿。
於步驟S3之氫除去工程中,較好是於每一特定時間藉由切換微波輸出之ON/OFF,而於腔室1內例如每隔10~360秒以間歇式形成Ar氣體之電漿而實施週期處理。此情況下,流入Ar氣體之狀態下設定電漿之ON/OFF,而交互進行電漿產生及潔淨(purge)亦可,或設定電漿與氣體同時為ON/OFF,而交互進行電漿產生及抽真空亦可。
步驟S3之氫除去工程中,Ar氣體之流量以氣體導入部15a、15b合計較好是10~2000mL/min(sccm)。又,處理壓力較好是6.7~133.3Pa。又,處理溫度為300℃以上,較好是例如400~600℃。
經過特定時間後,停止微波產生裝置39之微波供給,之後,停止惰性氣體供給源17b、17d之氣體供給。於步驟S3之氫除去工程中,藉由含有Ar氣體之處理氣體之電漿的使用,可以進行腔室1內附著之氫之濺鍍使其有效游離,快速排出,因此其後,於晶圓W形成氮化矽膜時可抑制氫之混入膜中,且可實施高效率之處理。
依據上述步驟S1~步驟S3之工程順序,藉由分別含有NF3 氣體、H2 氣體、Ar氣體之處理氣體之電漿依序處理腔室1內的各工程之組合,可以減低電漿處理裝置100之腔室1內之殘留之氟及氫,而且可以高效率確實進行潔淨。
本實施形態之電漿潔淨處理中,以特定次數例如至少1次以上進行步驟S2之氟除去工程及步驟S3之氫除去工程,較好是重複實施1~20次。如此則,可由腔室1內確實除去氟及氫。
另外,於潔淨處理之上述步驟S1~步驟S3之工程中,藉由監控特定波長之電漿之發光,可檢測出終點。於步驟S1~步驟S3之各工程中,藉由檢測部60檢測腔室1內之電漿中之SiN或原子狀氟等之發光強度。於檢測部60,受光之電漿之發光被依頻譜區分,由彼等頻譜之中測定伴隨潔淨或調整之進行增減的SiN或原子狀氟等之發光強度。
例如於步驟S1之沈積物除去工程中,藉由檢測部60監控440.7nm波長之電漿中之SiN之發光,可檢測腔室1內之沈積物殘餘量,可進行沈積物除去工程之終點檢測。取代SiN或原子狀氟,改為監控SiF、F、HF之發光,同樣可進行終點檢測。
又,於步驟S2之氟除去工程中,藉由檢測部60監控656.3nm波長之電漿中之原子狀氟之發光,可檢測腔室1內之氟之殘餘量。如上述說明,腔室1內之殘留之氟會與氫反應陳為HF被排出腔室1之外部,殘留之氟越多腔室1內之氫之消費越多,原子狀氟之發光變小。因此,於步驟S2之氟除去工程中,藉由監控導入腔室1內之原子狀氟之量,可以間接把握腔室1內之氟之量。又,監控HF同樣可進行氟之殘餘量檢測。
又,於步驟S3之氫除去工程中,藉由檢測部60監控656.3nm波長之電漿中之原子狀氟之發光,可檢測腔室1內之原子狀氟之殘餘量,可進行終點檢測。
圖5為潔淨處理中藉由檢測部60進行電漿之發光測定,進行沈積物除去工程、氟除去工程、氫除去工程之終點判斷之工程順序之一例之流程圖。本實施形態中,於製程控制器50之控制下,自動進行各工程之終點判斷及次一工程之切換。又,各工程之內容如上述,因此以下省略其說明。
首先,操作員介由使用者介面51輸入用於指示潔淨處理開始之意旨後,由製程控制器50對電漿處理裝置100之各部送出控制信號,開始沈積物除去工程(步驟S11)。沈積物除去工程期間,藉由檢測部60測定電漿中之SiN之發光(440.7nm),該測定值被逐次傳送至製程控制器50。製程控制器50,係針對SiN之發光(440.7nm),而由記憶部52讀出作為沈積物除去工程之終點判斷而預先設定之基準值,藉由和檢測部60之測定值之比對,而判斷是否測定值在基準值以下(步驟S12)。當判斷SiN之發光測定值在基準值以下(步驟S12)時,由製程控制器50對電漿處理裝置100之各部送出控制信號通知沈積物除去工程終了,例如採取微波供給停止,氣體供給停止等對策(步驟S13)。當判斷SiN之發光測定值不在基準值以下(步驟S12)時,認定沈積物之除去不夠充分而繼續沈積物除去工程之處理。步驟S12之判斷被重複進行至SiN之發光測定值成為基準值以下為止。
於步驟S13,沈積物除去工程終了後,由製程控制器50對電漿處理裝置100之各部送出控制信號,開始氟除去工程(步驟S14)。氟除去工程期間,藉由檢測部60測定電漿中之原子狀H(氫)之發光(656.3nm),該測定值被逐次傳送至製程控制器50。製程控制器50,係針對原子狀H之發光(656.3nm),而由記憶部52讀出作為氟除去工程之終點判斷而預先設定之基準值,藉由和檢測部60之測定值之比對,而判斷是否測定值在基準值以上(步驟S15)。當判斷原子狀氫之發光測定值在基準值以上(步驟S15)時,由製程控制器50對電漿處理裝置100之各部送出控制信號,例如採取微波供給停止,氣體供給停止等對策,而結束氟除去工程(步驟S16)。當判斷原子狀氫之發光測定值不在基準值以上(步驟S15)時,繼續氟除去工程之處理。步驟S15之判斷被重複進行至原子狀氟之發光測定值成為基準值以上為止。
於步驟S16,氟除去工程終了後,由製程控制器50對電漿處理裝置100之各部送出控制信號,開始氫除去工程(步驟S17)。氫除去工程期間,藉由檢測部60測定電漿中之原子狀H(氫)之發光(656.3nm),該測定值被逐次傳送至製程控制器50。製程控制器50,係針對原子狀H之發光(656.3nm),而由記憶部52讀出預先設定之基準值,藉由和檢測部60之測定值之比對,而判斷是否測定值在基準值以下(步驟S18)。當判斷原子狀氫之發光測定值在基準值以下(步驟S18)時,由製程控制器50對電漿處理裝置100之各部送出控制信號,例如採取微波供給停止,氣體供給停止等對策,而結束氫除去工程(步驟S19)。當判斷原子狀氫之發光測定值不在基準值以下(步驟S18)時,繼續氫除去工程之處理。步驟S18之判斷被重複進行至原子狀氟之發光測定值成為基準值以下為止。
如上述說明,結束電漿潔淨處理之全部工程。本實施形態中,藉由檢測部60監控電漿之發光,而進行電漿潔淨處理之各工程(沈積物除去工程、氟除去工程、氫除去工程)之終點檢測,因此可以適當管理工程時間。因此,過度之電漿處理引起之電漿損傷的發生、或不足之電漿處理引起之微粒的發生等對成膜之不良影響可以被迴避,可獲得低電漿損傷、極佳之潔淨效果。
另外,於電漿處理裝置100內,特別是進行具有高應力之絕緣膜(例如Si3 N4 、SiO2 等)之成膜時,此種絕緣膜附著於腔室內時,膜之強的應力導致無法保持和腔室內構件之密接狀態,而容易產生剝離。結果,產生微粒而使晶圓W之連續處理變為困難。此情況下,須在每次進行1或數片晶圓W之絕緣膜成膜後實施腔室內之潔淨處理。
圖6為於電漿CVD處理期間實施電漿潔淨方法的電漿CVD方法之工程順序之一例之流程圖。例如在至少1片晶圓W之電漿CVD處理後,進行第1次潔淨處理。該潔淨處理,係和圖4同樣可依步驟S1~步驟S3之工程順序進行,如上述說明,可重複實施步驟S2之氟除去工程及步驟S3之氫除去工程。高應力之絕緣膜之成膜時,容易產生微粒,因此較好是如上述說明,依每1片或每數片晶圓W實施潔淨處理。
進行第1次潔淨處理結束後,例如再度進行第2片晶圓W之電漿CVD處理,之後再度實施潔淨處理。如上述說明,藉由交互實施電漿CVD處理及臨場(in situ)之潔淨處理,可於腔室1內之沈積物剝離,微粒產生之前確實予以除去。因此可以盡量抑制微粒之產生,而且可進行穩定、連續之成膜。
以下說明確認本發明效果之實驗結果。
首先,針對300mm之晶圓W進行氮化矽膜之成膜處理後,於腔室1內,在以約500nm厚度形成有沈積物之電漿處理裝置100依以下條件實施電漿潔淨處理。本實驗之氣體流量、處理壓力等之詳細設定條件如圖7所示,於該實驗中,NH3 氣體由上段之氣體導入部15a供給,H2 氣體由下段之氣體導入部15b,但彼等之氣體導入位置之上下可互換。
(電漿潔淨處理條件)
(1)沈積物除去工程:Ar氣體流量(氣體導入部15a):400mL/min(sccm),Ar氣體流量(氣體導入部15b):400mL/min(sccm),NF3 氣體流量(氣體導入部15a):500mL/min(sccm),處理壓力:100Pa(750mTorr),處理溫度:500℃,微波電力密度:1.67W/cm2 ,微波電力:2000W,處理時間:15分鐘。
(2)氟除去工程:Ar氣體流量(氣體導入部15a):500mL/min(sccm),Ar氣體流量(氣體導入部15b):500mL/min(sccm),H2 氣體流量(氣體導入部15b):20mL/min(sccm),處理壓力:66.7Pa(500mTorr),處理溫度:500℃,微波電力密度:1.67W/cm2 ,微波電力:2000W,處理時間:10分鐘。
(3)氫除去工程:Ar氣體流量(氣體導入部15a):500mL/min(sccm),Ar氣體流量(氣體導入部15b):500mL/min(sccm),處理壓力:66.7Pa(500mTorr),處理溫度:500℃,微波電力密度:1.67W/cm2 ,微波電力:2000W,處理時間:30分鐘。
又,於氫除去工程中,藉由切換微波輸出之ON/OFF,而於腔室1內每隔180秒以間斷式形成Ar氣體之電漿而實施週期處理。
如圖7之時序圖所示,步驟B~E為藉由NF3 氣體之沈積物除去工程,步驟G~K為藉由H2 氣體之氟除去工程,步驟M~Q為藉由Ar氣體之氫除去工程。於各工程前後實施抽真空(步驟A、步驟F、步驟L、步驟R)。又,於各工程中,於進行電漿點火(微波電力設為ON)之步驟B、步驟H、步驟N,腔室1內壓力之所以設為較各潔淨條件之壓力高的126.6Pa,係為容易產生電漿。之後,於步驟C、步驟I、步驟O分別調降處理壓力為潔淨條件,穩定氣體流量之後,於步驟D、步驟J、步驟P設定電漿為ON實施各電漿潔淨。
於上述沈積物除去工程中,藉由檢測部60監控440.7nm波長之電漿中之SiN之發光強度而進行終點檢測。又,於上述氟除去工程中,藉由檢測部60監控656.3nm波長之電漿中之原子狀氟之發光強度而進行終點檢測。又,於上述氫除去工程中,藉由檢測部60監控656.3nm波長之電漿中之原子狀氟之發光強度而進行終點檢測。各工程之發光光譜如圖8A~8B所示(縱軸表示規格化之發光強度)。
由圖8A可知,隨Ar/NF3 氣體電漿之處理時間經過,電漿中之SiN之發光強度降低而達穩定化。因此,可以電漿中之SiN之發光強度成為預先設定之基準值以下之時點判斷為沈積物除去工程之終點。又,以目視觀察時,在發光強度成為例如1000以下之時點,腔室1內之沈積物(SiN)幾乎全被除去。又,以電漿中之SiN之發光強度於該基準值以下呈穩定(例如發光光譜之切線斜率為特定值以下)而判斷為沈積物除去工程之終點亦可。又,沈積物除去工程之終點判斷亦可藉由目視進行。
又,由圖8B可知,隨Ar/H2 氣體電漿之處理時間經過,電漿中之原子狀氫之發光強度增加。此意味著和氟之反應(HF之形成)而被消費之氫,係隨腔室1內之氟之減少而呈反比例增加。因此,可以電漿中之原子狀氫之發光強度成為預先設定之基準值以上(例如6000以上)之時點設為氟除去工程之終點。又,以電漿中之原子狀氫之發光強度於該基準值以上呈穩定(例如發光光譜之切線斜率為特定值以下)而判斷為氟除去工程之終點亦可。
又,由圖8C可知,藉由Ar氣體電漿之電漿處理,電漿中之原子狀氫之發光強度減少,藉由重複進行而於一定位準呈穩定化。此意味著藉由電漿處理,腔室1內之氫藉由Ar氣體之濺鍍力被游離,藉由排氣管23被排氣而減少。因此,可以電漿中之原子狀氫之發光強度成為預先設定之基準值以下(例如250以下)之時點設為沈積物除去工程之終點。又,以電漿中之原子狀氫之發光強度於該基準值以下呈穩定(例如發光光譜之切線斜率為特定值以下)而判斷為氫除去工程之終點亦可。該氫除去工程較好是重複進行至少2次以上。
又,由以上結果可知,對電漿處理裝置100之腔室1內,首先進行Ar/NF3 氣體電漿之處理,可以有效除去沈積物。針對除去沈積物後之腔室1內,進行Ar/H2 氣體電漿之處理,可以有效除去殘留之氟,再對其後之腔室1內進行Ar氣體電漿之處理,可以有效除去殘留之氫。因此,藉由實施本實施形態之電漿潔淨處理可以有效進行電漿CVD使用之電漿處理裝置100之腔室1內之潔淨。
又,本發明不限定於上述實施形態,可作各種變更實施。
例如於圖1之電漿處理裝置100構成為,在進行電漿CVD處理後之腔室1內以臨場(in situ)方式激發潔淨氣體之電漿,但不限定於此,例如使在電漿處理裝置100之腔室1外部激發之潔淨氣體之電漿導入腔室1內,而藉由遠隔電漿(remote plasma)方式進行潔淨處理亦可。
又,上述實施形態中以RLSA方式之電漿處理裝置100為例說明,但是本發明之潔淨方法亦適用其他方式之電漿處理裝置、例如遠隔控制電漿方式、ICP電漿方式、ECR電漿方式、表面反射波電漿方式、磁控管電漿方式等之電漿處理裝置。
又,電漿處理裝置100之成膜處理對象不限定於氮化矽膜(SiN膜),亦適用例如SiO2 膜、多晶矽膜、鎢(W)膜、WSi膜、Ti膜、TiN膜、Ta膜、TaN膜等之成膜進行時之潔淨。
又,上述實施形態之電漿潔淨處理之沈積物除去工程中使用NF3 氣體,但是使用例如含有Cl2 或HCl、ClF3 等之鹵素氣體除去腔室1內之沈積物時,其後藉由實施H2 氣體之鹵素除去工程,必要時再度實施Ar等稀有氣體之氫除去工程,則亦可進行電漿潔淨。
(發明效果)
依本發明,於上述處理容器內導入含NF3 氣體之潔淨氣體而形成電漿,除去沈積物之後,於上述處理容器內導入含氫氣體之氣體而形成電漿,除去殘留之氟,因此可以高效率減低電漿CVD使用之處理容器內殘留之氟,可以減低基板上成膜之膜中之氟之混入量。
又,除去沈積物時,監控電漿之發光,電漿潔淨處理之氟除去時及氫除去時進行終點之檢測,使彼等之時間管理適當化,可以盡量減輕過度電漿處理引起之對腔室內部構件之電漿損傷,可實現更高效率之潔淨。
另外,將上述電漿潔淨方法,作為各種半導體裝置製造過程中進行氮化矽膜之成膜的電漿CVD製程之一部分而組入,可以消除腔室內形成過剩之沈積物,可提升電漿CVD製程之成膜處理之均勻性,而且可以減少微粒而進行穩定之每一片被處理基板之連續處理。
1...腔室
1a...底壁
2...載置台
3...支撐構件
4...覆蓋環
5...加熱器
5a...加熱器電源
6...熱電偶
7...套筒
8...緩衝板
8a...孔
9...支柱
10...開口部
11...排氣室
15a、15b...氣體導入部
16...氣體供給系
17a...含氮氣體供給源
17b、17d...惰性氣體供給源
17c...含矽氣體供給源
17e...NF3 氣體供給源
17f...氫氣體供給源
20...氣體管
20a、20b...氣體管線
21...流量控制器
22...開關閥
23...排氣管
24...排氣裝置
25...搬出入口
26...柵閥
27...支撐部
28...透過板
29...密封構件
31...平面天線板
32...微波放射孔
33...遲波構件
34...屏蔽蓋體
34a...冷却水流路
35...密封構件
36...開口部
37...導波管
38...匹配電路
39...微波產生裝置
40...模態轉換器
41...內導體
50...製程控制器
51...使用者介面
52...記憶部
27...上板
27a...支撐部(支持部)
100...電漿處理裝置
W...晶圓
圖1為本發明之方法實施時適用之電漿處理裝置之一例之概略斷面圖。
圖2為平面天線板之構造圖。
圖3為電漿CVD處理及其後接續進行之電漿潔淨處理之時序圖。
圖4為電漿潔淨處理之工程順序之一例之流程圖。
圖5為進行終點檢測的電漿潔淨處理之工程順序之一例之流程圖。
圖6為組入有本發明電漿潔淨方法的電漿CVD方法之工程順序之一例之流程圖。
圖7為試驗例之成膜裝置之電漿潔淨處理之時序圖。
圖8A為電漿潔淨處理之沈積物除去工程中之電漿中之SiN之發光光譜圖。
圖8B為電漿潔淨處理之氟除去工程中之電漿中之原子狀氫之發光光譜圖。
圖8C為電漿潔淨處理之氫除去工程中之電漿中之原子狀氫之發光光譜圖。

Claims (5)

  1. 一種電漿潔淨方法,係對具備具有用於對處理容器內供給微波之多數縫隙之平面天線的電漿處理裝置之中沈積沈積物的處理容器內使用潔淨氣體之電漿進行潔淨者,其特徵為包含:於上述處理容器內導入含NF3 氣體之潔淨氣體而形成電漿,除去上述處理容器內之沈積物的步驟;除去上述沈積物之後,於上述處理容器內導入含氫氣體之氣體而形成電漿,除去上述處理容器內殘留之氟的步驟;及除去氟之後,於上述處理容器內導入含Ar氣體之氣體而形成電漿,除去上述處理容器內殘留之氫的步驟,重複實施除去上述氟之步驟及除去上述氫之步驟,除去上述沈積物時之電漿、除去上述氟時之電漿、及除去上述氫時之電漿,皆係藉由以具有上述多數縫隙之平面天線對上述處理容器內導入微波而形成,使除去上述沈積物時之電漿在微波輸出為1.67~4.18W/cm2 的範圍、上述處理容器內之處理壓力為40~133.3Pa的範圍中形成,使除去上述氟時之電漿在微波輸出為0.41~2.51W/cm2 的範圍、上述處理容器內之處理壓力為6.7~133.3Pa的範圍中形成,使除去上述氫時之電漿在微波輸出為0.41~2.51W/cm2 的範圍、上述處理容器內之處理壓力為6.7~133.3Pa的範圍中形成。
  2. 如申請專利範圍第1項之電漿潔淨方法,其中,除去上述沈積物時、除去上述氟時、及除去上述氫時,分別藉由監控電漿之發光而檢測出終點。
  3. 一種電漿CVD方法,係對具備具有用於對處理容器內供給微波之多數縫隙之平面天線的電漿CVD裝置之處理容器內之被處理基板表面沈積氮化矽膜者,其特徵為包含:藉由導入上述處理容器內之包含含氮氣體及含矽氣體的氣體形成電漿,藉由該電漿於被處理基板表面沈積氮化矽膜的步驟;於上述處理容器內導入含NF3 氣體之潔淨氣體而形成電漿,除去上述處理容器內之沈積物的步驟;除去上述沈積物之後,於上述處理容器內導入含氫氣體之氣體而形成電漿,除去上述處理容器內殘留之氟的步驟;及除去氟之後,於上述處理容器內導入含Ar氣體之氣體而形成電漿,除去上述處理容器內殘留之氫的步驟,重複實施除去上述氟之步驟及除去上述氫之步驟,堆積上述氮化矽膜時之電漿、除去上述沈積物時之電漿、除去上述氟時之電漿、及除去上述氫時之電漿,皆係藉由以具有上述多數縫隙之平面天線對上述處理容器內導入微波而形成,使堆積上述氮化矽膜時之電漿在上述處理容器內之處理壓力為1.3~667Pa的範圍中形成, 使除去上述沈積物時之電漿在微波輸出為1.67~4.18W/cm2 的範圍、上述處理容器內之處理壓力為40~133.3Pa的範圍中形成,使除去上述氟時之電漿在微波輸出為0.41~2.51W/cm2 的範圍、上述處理容器內之處理壓力為6.7~133.3Pa的範圍中形成,使除去上述氫時之電漿在微波輸出為0.41~2.51W/cm2 的範圍、上述處理容器內之處理壓力為6.7~133.3Pa的範圍中形成。
  4. 如申請專利範圍第3項之電漿CVD方法,其中,除去氫之後,再度進行藉由上述電漿CVD形成氮化矽膜之工程。
  5. 一種電漿處理裝置,其特徵為具備:處理容器,係使用電漿處理被處理基板,可被真空排氣;平面天線,具有多數縫隙,用於對上述處理容器內導入微波;氣體供給機構,用於對上述處理容器內供給潔淨氣體;及控制部,用於控制各構成部以使包含以下步驟的電漿潔淨方法被進行:於上述處理容器內導入含NF3 氣體之潔淨氣體而形成電漿,除去上述處理容器內之沈積物的步驟;除去上述沈積物之後,於上述處理容器內導入含氫氣體之氣體而形成電漿,除去上述處理容器內殘留之氟的步 驟;及除去氟之後,於上述處理容器內導入含Ar氣體之氣體而形成電漿,除去上述處理容器內殘留之氫的步驟,重複實施除去上述氟之步驟及除去上述氫之步驟,除去上述沈積物時之電漿、除去上述氟時之電漿、及除去上述氫時之電漿,皆係藉由以具有上述多數縫隙之平面天線對上述處理容器內導入微波而形成,使除去上述沈積物時之電漿在微波輸出為1.67~4.18W/cm2 的範圍、上述處理容器內之處理壓力為40~133.3Pa的範圍中形成,使除去上述氟時之電漿在微波輸出為0.41~2.51W/cm2 的範圍、上述處理容器內之處理壓力為6.7~133.3Pa的範圍中形成,使除去上述氫時之電漿在微波輸出為0.41~2.51W/cm2 的範圍、上述處理容器內之處理壓力為6.7~133.3Pa的範圍中形成。
TW096134906A 2006-09-19 2007-09-19 Plasma cleaning method and plasma CVD method TWI428962B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006252446 2006-09-19

Publications (2)

Publication Number Publication Date
TW200830375A TW200830375A (en) 2008-07-16
TWI428962B true TWI428962B (zh) 2014-03-01

Family

ID=39200503

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096134906A TWI428962B (zh) 2006-09-19 2007-09-19 Plasma cleaning method and plasma CVD method

Country Status (6)

Country Link
US (1) US8366953B2 (zh)
JP (1) JP5241499B2 (zh)
KR (1) KR101057877B1 (zh)
CN (1) CN101517713B (zh)
TW (1) TWI428962B (zh)
WO (1) WO2008035678A1 (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US10658161B2 (en) 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5660205B2 (ja) * 2011-04-25 2015-01-28 東京エレクトロン株式会社 成膜方法
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
JP5659079B2 (ja) * 2011-05-10 2015-01-28 株式会社アルバック ZrBO膜の形成装置
CN103035466B (zh) * 2011-10-08 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种预清洗方法及等离子体设备
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR101678512B1 (ko) * 2012-03-22 2016-11-22 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101965256B1 (ko) * 2012-10-17 2019-04-04 삼성디스플레이 주식회사 유기 발광 표시 장치
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9246133B2 (en) * 2013-04-12 2016-01-26 Semiconductor Energy Laboratory Co., Ltd. Light-emitting module, light-emitting panel, and light-emitting device
CN104233234A (zh) * 2013-06-17 2014-12-24 沙嫣 一种带氟清理装置的pecvd炉及其氟清理方法
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
CN105448659A (zh) * 2014-09-25 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种提高清洗效率的方法
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
JP6524753B2 (ja) * 2015-03-30 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6661283B2 (ja) * 2015-05-14 2020-03-11 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102058106B1 (ko) * 2015-11-20 2019-12-20 주식회사 원익아이피에스 반도체 소자의 제조방법
CN105714270A (zh) * 2016-04-15 2016-06-29 信利(惠州)智能显示有限公司 化学气相沉积清洗终点监测方法及其系统
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
CN106373868B (zh) * 2016-10-10 2020-03-10 昆山龙腾光电股份有限公司 一种阵列基板的制造方法
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6845773B2 (ja) 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
JP2020077750A (ja) * 2018-11-07 2020-05-21 東京エレクトロン株式会社 クリーニング方法及び成膜方法
JP7190915B2 (ja) * 2019-01-18 2022-12-16 東京エレクトロン株式会社 基板処理装置の洗浄方法、および基板処理装置
WO2020222853A1 (en) 2019-05-01 2020-11-05 Lam Research Corporation Modulated atomic layer deposition
JP7385809B2 (ja) * 2019-09-05 2023-11-24 日新イオン機器株式会社 イオンビーム照射装置のクリーニング方法
CN110747450A (zh) * 2019-09-12 2020-02-04 常州比太科技有限公司 一种hit镀膜设备在线清洗后腔体寿命快速恢复方法
JP7489905B2 (ja) 2020-11-30 2024-05-24 東京エレクトロン株式会社 チャンバーコンディションの診断方法及び基板処理装置
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統
JP2022191960A (ja) * 2021-06-16 2022-12-28 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
CN117546274A (zh) 2022-06-08 2024-02-09 株式会社日立高新技术 等离子处理方法
WO2024118852A1 (en) * 2022-12-02 2024-06-06 Lam Research Corporation Spectral sensing of process chamber conditions

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5201990A (en) * 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
JPH0732141B2 (ja) * 1992-09-11 1995-04-10 株式会社半導体エネルギー研究所 炭素膜作製方法
JPH0793276B2 (ja) 1993-12-14 1995-10-09 アプライド マテリアルズ インコーポレイテッド 薄膜形成前処理方法および薄膜形成方法
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
JP2002371360A (ja) * 2001-06-15 2002-12-26 Matsushita Electric Ind Co Ltd 半導体の製造方法
JP2003037105A (ja) 2001-07-26 2003-02-07 Tokyo Electron Ltd プラズマ処理装置及び方法
JP4374854B2 (ja) 2001-12-25 2009-12-02 東京エレクトロン株式会社 処理装置及びそのクリーニング方法
US6911233B2 (en) * 2002-08-08 2005-06-28 Toppoly Optoelectronics Corp. Method for depositing thin film using plasma chemical vapor deposition
JP3657942B2 (ja) * 2003-01-16 2005-06-08 沖電気工業株式会社 半導体製造装置の洗浄方法、及び半導体装置の製造方法
JP2004335789A (ja) * 2003-05-08 2004-11-25 Tadahiro Omi 基板処理装置のクリーニング方法
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
JP2006086325A (ja) * 2004-09-16 2006-03-30 Tokyo Electron Ltd クリーニングの終点検出方法
JP4718189B2 (ja) * 2005-01-07 2011-07-06 東京エレクトロン株式会社 プラズマ処理方法
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
US7510976B2 (en) * 2006-04-21 2009-03-31 Applied Materials, Inc. Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity

Also Published As

Publication number Publication date
CN101517713A (zh) 2009-08-26
JP5241499B2 (ja) 2013-07-17
KR20090053823A (ko) 2009-05-27
KR101057877B1 (ko) 2011-08-19
WO2008035678A1 (fr) 2008-03-27
CN101517713B (zh) 2011-02-09
JPWO2008035678A1 (ja) 2010-01-28
US8366953B2 (en) 2013-02-05
US20090308840A1 (en) 2009-12-17
TW200830375A (en) 2008-07-16

Similar Documents

Publication Publication Date Title
TWI428962B (zh) Plasma cleaning method and plasma CVD method
JP4836780B2 (ja) 基板処理装置における処理室のクリーニング方法およびクリーニングの終点検出方法
US9960031B2 (en) Plasma processing apparatus and plasma processing method
KR100735938B1 (ko) Ti막 및 TiN막의 성막 방법, 접촉 구조체 및 컴퓨터 판독 가능한 기억 매체
JP4801045B2 (ja) ドライクリーニングプロセスのプラズマ処理システムからチャンバ残渣を除去する方法
JP5061231B2 (ja) 水素含有ラジカルによる未変性酸化物の洗浄
US7465357B2 (en) Computer-readable medium that contains software for executing a method for cleaning a CVD chamber
KR100978966B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP4979575B2 (ja) 基板の窒化処理方法および絶縁膜の形成方法
JP2005163183A (ja) 基板処理装置のクリーニング方法
KR101477831B1 (ko) 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치
US7857984B2 (en) Plasma surface treatment method, quartz member, plasma processing apparatus and plasma processing method
WO2009123049A1 (ja) 高ストレス薄膜の成膜方法及び半導体集積回路装置の製造方法
JP2011029250A (ja) マイクロ波プラズマ処理装置およびマイクロ波プラズマ処理方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees