CN101517713A - 等离子体清洁方法和等离子体cvd方法 - Google Patents

等离子体清洁方法和等离子体cvd方法 Download PDF

Info

Publication number
CN101517713A
CN101517713A CNA2007800347636A CN200780034763A CN101517713A CN 101517713 A CN101517713 A CN 101517713A CN A2007800347636 A CNA2007800347636 A CN A2007800347636A CN 200780034763 A CN200780034763 A CN 200780034763A CN 101517713 A CN101517713 A CN 101517713A
Authority
CN
China
Prior art keywords
plasma
gas
container handling
described container
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800347636A
Other languages
English (en)
Other versions
CN101517713B (zh
Inventor
鸿野真之
西田辰夫
中西敏雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101517713A publication Critical patent/CN101517713A/zh
Application granted granted Critical
Publication of CN101517713B publication Critical patent/CN101517713B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

本发明提供等离子体清洁方法和等离子体CVD方法,该等离子体清洁方法包括:向在被处理基板表面沉积有氮化硅膜的等离子体CVD装置的处理容器内导入含有NF3气体的清洁气体,除去处理容器内的沉积物的工序(S1);之后,向处理容器内导入含有氢气的气体并形成等离子体,除去残留在处理容器内的氟的工序(S2);和进一步向处理容器内导入含有稀有气体的气体并形成等离子体,除去残留在处理容器内的氢的工序(S3)。

Description

等离子体清洁方法和等离子体CVD方法
技术领域
本发明涉及清洁在被处理基板表面沉积氮化硅膜的等离子体CVD(Chemical Vapor Depositon:化学气相沉积)装置的处理容器内的等离子体清洁方法和等离子体CVD方法。
背景技术
氮化硅膜作为各种半导体装置中的绝缘膜、保护膜等被使用。已知这样的氮化硅膜例如能够通过作为原料气体使用硅烷(SiH4)等含硅化合物的气体、和氮气、氨气等含氮化合物的气体的等离子体CVD等而形成。
在如上所述进行等离子体CVD的等离子体CVD装置中,由于反复进行向被处理基板的成膜,在腔室内的被处理基板以外的部位上也沉积有氮化硅。如果这样的形成的腔室内的沉积物超过一定的膜厚,则对等离子体CVD装置中的成膜造成不良影响。例如,形成在腔室内的沉积物,影响腔室内的气体的流动和热分布,成为使成膜速度和成速的均匀性下降的主要原因。此外,也担心从沉积物中产生颗粒并附着于被处理基板。由此,定期地实施腔室内的清洁,除去形成在腔室内的沉积物是必须的。因此,提出了使用包括例如NF3等氟化合物的反应性气体的等离子体对腔室内部进行蚀刻的等离子体清洁方法的发明(例如,日本特开平7-201738号公报)。
如日本特平7-201738号公报所示,等离子体清洁通常使用对沉积物具有蚀刻作用的NF3等气体种,利用清洁气体的等离子体对腔室内的沉积物进行干蚀刻从而除去。但是,如果进行使用NF3气体这样的含氟气体进行清洁,则残留在腔室内的氟对之后的成膜处理造成不良影响,因此产生除去氟的必要性。因此在专利文献1中,在利用含有NF3的气体的处理之后,设有利用包括NH3的还原性气体的等离子体除去腔室内的氟的工序。
在上述日本特开平7-201738号公报公开的技术中,在NF3清洁之后设置有除去腔室内残留的氟的工序,但是,对于实用上用于进行在得到充分的清洁效果的同时能够迅速地除去残留氟的高通过量(throughput)下的清洁处理的条件,并不能够说进行了充分的研究。
此外,如上述技术所示,在包括多个工序的清洁处理的情况下,难以掌握各工序的终点,如果错误判断终点而进行过度的清洁,则由于清洁气体的等离子体,腔室内部件例如载置被处理基板的载置台(基座)、用于引导被处理基板的盖环等被蚀刻,受到等离子体损伤,部件寿命变短。特别是,如果为了提高清洁效果而进行长时间的清洁,则等离子体损伤变强且通过量下降。相反地,如果为了抑制等离子体损伤,得到高通过量,清洁变得不充分而产生颗粒,对成膜造成不良影响。
发明内容
本发明的目的是提供一种能够以高通过量可靠地减少残留氟的等离子体蚀刻方法。
本发明的另一目的是提供一种通过容易地进行清洁的终点的掌握,能够极力抑制对腔室内部件造成的等离子体损伤的等离子体清洁方法。
本发明的又一目的是提供一种包括这样的等离子体清洁方法的等离子体CVD方法。
根据本发明的第一观点,提供一种等离子体清洁方法,用来在等离子体处理装置中,使用清洁气体的等离子体对等离子体处理装置中沉积有沉积物的处理容器内进行清洁,其特征在于,包括:向上述处理容器内导入含有NF3气体的清洁气体并形成等离子体,除去上述处理容器内的沉积物的工序;和在除去上述沉积物之后,向上述处理容器内导入含有氢气的气体并形成等离子体,除去残留在上述处理容器内的氟的工序。
在上述第一观点中,还包括在除去氟之后,向上述处理容器内导入含有稀有气体的气体并形成等离子体,除去残留在上述处理容器内的氢的工序。
此外,也可以反复进行上述除去氟的工序和上述除去氢的工序。
此外,在除去上述沉积物时、除去氟时和除去氢时,能够通过分别对等离子体的发光进行监视而进行终点检测。
此外,作为等离子体处理装置,能够应用由具有多个隙缝的平面天线向上述处理容器内导入微波而形成等离子体,在被处理基板表面沉积氮化硅膜的等离子体CVD装置。
根据本发明的第二观点,提供一种等离子体清洁方法,用来在设置有具有多个隙缝的平面天线的微波处理装置中,使用清洁气体的等离子体对微波处理装置中沉积有沉积物的处理容器内进行清洁,其特征在于,包括:向上述处理容器内导入含有NF3气体的清洁气体的工序;通过上述平面天线向上述处理容器内供给微波,从而在上述处理容器内形成上述清洁气体的等离子体并生成F的活性种的工序;使上述处理容器内的上述沉积物与上述F的活性种反应使上述沉积物挥发的工序;和将上述已挥发的沉积物成分从上述处理容器排出的工序。
在上述第二观点中,优选还包括在排出上述已挥发的沉积物成分之后,向上述处理容器内导入含有氢气的气体并形成等离子体,除去残留在上述处理容器内的氟的工序。
根据本发明的第三观点,能够提供一种等离子体CVD方法,用来在等离子体CVD装置的处理容器内在被处理基板表面沉积氮化硅膜,其特征在于,包括:利用向上述处理容器内导入的包括含氮气体和含硅气体的气体形成等离子体,利用该等离子体在被处理基板的表面沉积氮化硅膜的工序;在上述处理容器内导入含有NF3气体的清洁气体并形成等离子体,除去上述处理容器内的沉积物的工序;在除去上述沉积物之后,向上述处理容器内导入含有氢气的气体并形成等离子体,除去残留在上述处理容器内的氟的工序;和在除去氟之后,进一步向上述处理容器内导入含有稀有气体的气体并形成等离子体,除去残留在上述处理容器内的氢的工序。
在上述第三观点中,能够反复进行上述除去氟的工序和上述除去氢的工序。
此外,在除去上述氢之后,能够再次进行上述等离子体CVD工序。
根据本发明的第四观点,提供一种存储介质,其在计算机上动作,存储有在使用清洁气体的等离子体对等离子体处理装置的处理容器内进行清洁时控制上述等离子体处理装置的程序,其特征在于:上述程序在执行时,以进行等离子体清洁方法的方式使计算机控制上述等离子体处理装置,该等离子体清洁方法包括下述工序:向上述处理容器内导入含有NF3气体的清洁气体并形成等离子体,除去上述处理容器内的沉积物的工序;和在除去上述沉积物之后,向上述处理容器内导入含有氢气的气体并形成等离子体,除去残留在上述处理容器内的氟的工序。
根据本发明的第五观点,提供一种等离子体处理装置,其特征在于,包括:使用等离子体处理被处理基板、能够真空排气的处理容器;具有向上述处理容器内导入微波的多个隙缝的平面天线;向上述处理容器内供给清洁气体的气体供给机构;和控制部,其控制各结构部以进行等离子体清洁方法,该等离子体清洁方法包括:向上述处理容器内导入含有NF3气体的清洁气体并形成等离子体,除去上述处理容器内的沉积物的工序;和在除去上述沉积物之后,向上述处理容器内导入含有氢气的气体并形成等离子体,除去残留在上述处理容器内的氟的工序。
根据本发明,向处理容器内导入含有NF3气体的清洁气体并形成等离子体,在除去沉积物之后,向处理容器内导入含有氢气的气体并形成等离子体,除去残留的氟,因此,能够以高通过率可靠地减少在等离子体CVD中使用的处理容器内的残留氟,能够减少向在基板上成膜的膜中的氟混入量。
此外,在除去沉积物时,对等离子体的发光进行监视,在等离子体清洁处理的除去氟时和除去氢时进行终点检测的情况下,能够适当地进行它们的时间管理,因此能够极力减少由过度的等离子体处理引起的对腔室内部件的等离子体损伤,能够实现通过量更高的清洁。
进而,上述等离子体清洁方法,在各种半导体装置的制造过程中作为使氮化硅膜成膜的等离子体CVD工艺的一部分被编入,从而能够使得在腔室内形成有过剩的沉积物的状态消失,于是能够提高等离子体CVD工艺中成膜处理的均匀性,并且能够减少颗粒,连续进行稳定的对每个被处理基板的处理。
附图说明
图1是表示适于本发明方法的实施的等离子体处理装置的一个例子的概要截面图。
图2是表示平面天线板的构造的图
图3是等离子体CVD处理和在此后接续进行的等离子体清洁处理的时序图。
图4是表示等离子体清洁处理的工序顺序的一个例子的流程图。
图5是表示进行终点检测的等离子体清洁处理的工序顺序的一个例子的流程图。
图6是表示组合有本发明的等离子体清洁方法的等离子体CVD方法的工序顺序的一个例子的流程图。
图7是试验例中的成膜装置的等离子体清洁处理的时序图。
图8A是表示等离子体清洁处理的沉积物除去工序中的等离子体中的SiN的发光光谱的图。
图8B是表示等离子体清洁处理的氟除去工序中的等离子体中的原子状氢的发光光谱的图。
图8C是表示等离子体清洁处理的氢除去工序中的等离子体中的原子状氢的发光光谱的图。
具体实施方式
以下,适当参照附图说明本发明的实施方式的具体内容。图1是示意性地表示能够实施本发明的等离子体清洁方法和等离子体CVD方法的等离子体处理装置的一个例子的截面图。该等离子体处理装置100通过具有多个隙缝的平面天线特别是RLSA(Radial Line Slot Antenna:径向线隙缝天线)向处理容器内导入微波,产生等离子体,从而构成为能够产生高密度且低电子温度的微波激励等离子体的RLSA微波等离子体处理装置,利用1×1010~5×1012/cm3的等离子体密度、且0.7~2eV的低电子温度的等离子体,能够进行对膜的损伤极少的处理。从而,能够适用于在各种半导体装置的制造过程中利用等离子体CVD的氮化硅膜的成膜处理等目的。
上述等离子体处理装置100构成为气密,具有被接地的大致圆筒状的腔室1。另外,腔室1也可以是角筒形状。在腔室1的底壁1a的大致中央部形成有圆形的开口部10,在底壁1a上与该开口部10连通地设置有向下方突出的排气室11。该排气室11通过排气管23与排气装置24连接。
在腔室1内为了水平支承作为被处理基板的硅晶片(以下简称为“晶片”)W,设置有由热传导性高的AlN等陶瓷构成的载置台2。该载置台2被从排气室11的底部中央向上方延伸的圆筒状的由AlN等陶瓷构成的支承部件3支承。在载置台2上,覆盖载置台2的外缘部,用于引导晶片W的盖环4。该盖环4是例如由石英、AlN、Al2O3、SiN等材质构成的部件。
在载置台2上埋入有电阻加热型的加热器5,该加热器5通过从加热器电源5a被供电而加热载置台2,利用该热均匀地加热作为被处理基板的晶片W。此外,在载置台2上配设有热电偶6,能够进行温度控制使得晶片W的加热温度例如在从室温到900℃的范围内。在载置台2上,用于支承晶片W并使其升降的晶片支承销(未图示)以相对于载置台2的表面能够突出没入的方式被设置。
在腔室1的内周设置有由石英构成的圆筒状的衬里(liner)7,能够防止由腔室构成材料引起的金属污染。此外,在载置台2的外周侧,具有用于对腔室1内进行均匀排气的多个孔8a的挡板8环状设置,该挡板8被多个支柱9支承。
在后述的顶板27和腔室1的侧壁上,上下两层地设置有呈环状的气体导入部15a、15b,在各气体导入部15a和15b上连接有供给成膜原料气体、等离子体激励用气体和清洁气体的气体供给系统16。另外,气体导入部15a、15b也可以配置成喷嘴状或喷淋状。
气体供给系统16例如具有含氮气体供给源17a、非活性气体供给源17b、含Si气体供给源17c、非活性气体供给源17d、NF3气体供给源17e和氢气供给源17f。含氮气体供给源17a和非活性气体供给源17b与上层的气体导入部15a接连,含Si气体供给源17c、非活性气体供给源17d、NF3气体供给源17e和氢气供给源17f与下层的气体导入部15b连接。另外,NF3气体也可以与上侧的气体导入部15a连接而导入。
含Si气体是成膜原料气体,作为含Si气体,能够使用例如硅烷(SiH4)、乙硅烷(Si2H6)、TSA(三甲硅烷基氨:trisilylamine)等,特别优选乙硅烷(Si2H6)。含氮气体是其它的成膜原料气体,作为含氮气体,能够使用例如N2、氨、MMH(一甲基肼:monomethylhydrazine)等肼诱导体等。此外,作为非活性气体,能够使用例如N2气、稀有气体等。稀有气体是等离子体激励用气体,作为稀有气体,能够使用例如Ar气体、Kr气体、Xe气体、He气体等,从经济性和等离子体稳定性的观点出发优选Ar。
NF3气体和氢气与上述稀有气体同是在清洁等离子体处理装置100中的腔室1内时使用的清洁气体。
含氮气体和非活性气体从含氮气体供给源17a和非活性气体供给源17b通过气体线20a到达气体导入部15a,从气体导入部15a向腔室1内导入。另一方面,含Si气体、非活性气体、NF3气体和氢气分别通过气体线20b到达气体导入部15b,从气体导入部15b向腔室1内导入。在与各气体供给源连接的各个气体线20a、20b上,设置有质量流量控制器21和在质量流量控制器21的前后设置的开关阀22,构成为能够控制供给的气体的切换和流量等。
在上述排气室11的侧面连接有排气管23,在该排气管23上连接有包括高速真空泵的上述排气装置24。而且,通过使该排气装置24动作,腔室1内的气体通过挡板8向排气室11的空间11a内均匀地排出,通过排气管23被排气。由此,腔室1内能够高速地减压至规定的真空度例如0.133Pa。
在腔室1的侧壁,设置有用于在与邻接于等离子体处理装置100的搬送室(未图示)之间进行晶片W的搬入搬出的搬入搬出口25、和开关该搬入搬出口25的闸阀26。
腔室1的上部为开口部,在该开口部上接合有环状的顶板27。顶板27的内周下部向内侧的腔室内空间突出,形成有环状的支承部27a。在该支承部27a上,由电介质例如石英、Al2O3、AlN等陶瓷构成的透过微波的微波透过板28通过密封部件29被气密地设置。从而,腔室1内保持气密。
在微波透过板28的上方,以与载置台2相对的方式设置有圆板状的平面天线板31。另外,平面天线板的形状并不限于圆板状,也可以例如为四边的板状。该平面天线板31卡止在腔室1的侧壁上端。平面天线板31由例如表面镀有金或银的铜板或铝板构成,为发射微波的多个隙缝状的微波发射孔32以规定的图案贯通而形成的结构。
如图2所示,微波发射孔32例如是呈长形状的孔成对,典型的成对的微波发射孔32彼此配置为“T”字状,多个这些对配置为同心圆状。微波发射孔32的长度、排列间隔根据微波的波长(λg)决定,例如微波发射孔32的间隔以成为λg/4、λg/2或λg的方式配置。另外,在图2中,形成为同心圆状的邻接的微波发射孔32彼此的间隔以Δr表示。此外,微波发射孔32也可以为圆形、圆弧形等其他形状。而且,微波发射孔32的配置方式并无特别限定,在同心圆状以外,例如还能够配置为螺旋状、辐射状。
在该平面天线板31的上表面上,设置有具有大于真空的电介质常数的滞波件33。因为在真空中微波的波长变长,所以该滞波件33具有使微波的波长变短,调整等离子体的功能。另外,平面天线板31与透过板28之间,以及,滞波件33与平面天线板31之间,分别可以接触或分离,但优选接触。
在腔室1的上表面,以覆盖这些平面天线板31和滞波件33的方式,设置有例如由铝、不锈钢等金属材料构成的具有波导管功能的屏蔽盖体34。腔室1的上表面与屏蔽盖体34通过密封部件35被密封。在屏蔽盖体34中形成有冷却水流路34a,通过在此通流冷却水,能够冷却屏蔽盖体34、滞波件33、平面天线板31、透过板28。另外,屏蔽盖体34被接地。
在屏蔽盖体34的上壁的中央形成有开口部36,在该开口部36上连接有波导管37。在该波导管37的端部通过匹配电路38连接有产生微波的微波产生装置39。由此,由微波产生装置39产生的例如频率2.45GHz的微波通过波导管37向上述平面天线板31传播。另外,作为微波的频率,也能够使用8.35GHz、1.98GHz等。
波导管37具有从上述屏蔽盖体34的开口部36向上方延伸的截面圆形状的同轴波导管37a;和通过模式变换器40与该同轴波导管37a的上端部连接的向水平方向延伸的矩形波导管37b。矩形波导管37b与同轴波导管37a之间的模式变换器40具有将在矩形波导管37b内以TE模式传播的微波变换为TEM模式的功能。内导体41在同轴波导管37a的中心延伸,内导体41在其下端部与平面天线板31的中心连接固定。由此,微波通过同轴波导管37a的内导体41向平面天线板31辐射状地高效且均匀地传播。
此外,在等离子体处理装置100的腔室1的侧部,配设有检测腔室1内的等离子体的发光的检测部60。该检测部60具有未图示的受光部和单色仪等分光测定部,构成为能够通过设置在腔室1的侧壁的窗61对在腔室1内生成的等离子体的规定波长的发光进行监视。在腔室1内,虽然设置有由石英构成的圆筒状的衬里7,但能够通过窗61和衬里7测定等离子体中的自由基的发光强度。另外,检测部60的设置位置并无特别限定,只要能够稳定地进行测定则任意位置均可。
等离子体处理装置100的各结构部构成为与具有微处理器(计算机)的工艺控制器50连接并被控制。工艺控制器50上连接有:操作员为了管理等离子体处理装置100而进行命令的输入操作等的键盘;由可视化地表示等离子体处理装置100的运行状况的显示器等构成的用户接口51。
此外,在工艺控制器50上连接有存储有方案的存储部52,该方案记录有用于以工艺控制器50的控制实现在等离子体处理装置100中执行的各种处理的控制程序(软件)、处理条件数据等。
而且,根据需要,根据来自用户接口51的指示等从存储部52中叫出任意的方案使工艺控制器50执行,从而在工艺控制器50的控制下,在等离子体处理装置100进行期望的处理。此外,上述控制工艺、处理条件数据等的方案能够以存储在计算机能够读出的存储介质例如CD-ROM、硬盘、软盘、闪存器等中的状态被利用,或者,能够从其它装置例如通过专用回线随时传送而在线利用。
此外,工艺控制器50通过未图示的连接单元与检测部60连接,从而解析由检测部60检测出的等离子体中的规定波长的发光,进行清洁处理中的各工序的终端判断。而且,根据工艺控制器50的指示,例如自动地切换清洁处理中的各工序、将各工序已结束的信息显示在用户接口51的显示器上。
这样构成的等离子体处理装置100能够在800℃以下的低温下进行对基底膜没有损伤的等离子体处理,并且等离子体均匀性优异,能够稳定地实现工艺的均匀性。
接着,对在等离子体处理装置100中实施的等离子体CVD处理和等离子体清洁处理进行说明。图3中表示在等离子体CVD处理之后继续实施等离子体清洁处理的情况下的时序图。在该图3中表示对一个批量的最后的晶片(第25个)进行等离子体CVD处理,和之后继续进行的等离子体清洁处理中的气体的切换和微波输出的接入断开(ON/OFF)的时刻。
在等离子体CVD处理中,能够以下述顺序通过等离子体CVD法进行在晶片W表面沉积氮化硅膜的处理。
首先,打开闸阀26从搬入搬出口25将晶片W搬入腔室1内,并载置在载置台2上。然后,从气体供给系统16的含氮气体供给源17a和含Si气体供给源17c将含氮气体和含硅气体以规定的流量分别通过导入部15a、15b导入腔室1内。
接着,来自微波产生装置39的微波经由匹配电路38在波导管37中传导,依次通过矩形波导管37b、模式变换器40和同轴波导管37a,通过内导体41供给至平面天线板31,从平面天线板31的隙缝通过透过板28被发射至腔室1内的晶片W上的空间。微波在矩形波导管37b内以TE模式传播,该TE模式的微波由模式变换器40变换为TEM模式,在同轴波导管37a内向平面天线板31传播。此时的微波输出能够例如为500~3000W左右。
利用从平面天线板31经由透过板28被发射至腔室1的微波在腔室1内形成电磁场,含氮气体、含硅气体分别被等离子体。微波从平面天线板31的多个隙缝即微波发射孔32被发射,从而该微波激励等离子体成为约1×1010~5×1012/cm3的高密度且在晶片W附近为约1.2eV以下的低电子温度的等离子体。这样形成的微波激励等离子体能够减少对基底膜的由离子等引起的等离子体损伤。而且,在等离子体中原料气体的离解不断进行,由于SiH、NH、N等活性种的反应,氮化硅SixNy(此处,x,y并非必须由化学计量所决定,能够根据条件为不同的值)的薄膜(沉积物)沉积在晶片W表面、腔室内壁和腔室内部件的表面。
在等离子体CVD成膜中,在作为含氮气体使用NH3气体、作为含硅气体例如使用Si2H6的情况下,NH3气体的流量优选为100~3000mL/min(sccm),更优选为400~1000mL/min(sccm)。此外,Si2H6气体的流量优选为1~30mL/min(sccm),更优选为5~20mL/min(sccm)。此外,在使用Si2H6气体和NH3气体的情况下,处理压力优选为13.3~400Pa,更优选为40~133.3Pa。此外,等离子体CVD的处理温度作为载置台2的加热温度,优选为300℃以上,更优选为400~500℃。
此外,在例如作为含氮气体使用N2气体、作为含硅气体使用Si2H6气体的情况下,N2气体的流量优选为100~3000mL/min(sccm),更优选为800~2000mL/min(sccm)。此外,Si2H6气体的流量优选为1~30mL/min(sccm),更优选为1~10mL/min(sccm)。此外,在使用Si2H6气体和N2气体的情况下,处理压力优选为1.3~667Pa,更优选为2.6~133.3Pa。此外,等离子体CVD的处理温度作为载置台2的加热温度,优选为300℃以上,更优选为400~600℃。
接着,对等离子体处理装置100的腔室1内进行等离子体清洁。如图4所示,等离子体清洁处理能够根据步骤S1~S3实施。首先,在步骤S1除去腔室1内的上述沉积物,之后在步骤S2和步骤S3实施腔室1内的调节(conditioning)。以下详细叙述各步骤的内容。
首先,打开闸阀26从搬入搬出口25将假晶片Wd搬入腔室1内,并载置在载置台2上。另外,也可以不使用假晶片Wd。然后,从气体供给系统16的非活性气体供给源17b、17d和NF3气体供给源17e将Ar气体和NF3气体以规定的流量分别通过导入部15a、15b导入腔室1内。通过像本实施方式这样将Ar气体通过气体导入部15a、15b分成上下两层地导入,能够在腔室1内均匀地形成等离子体,能够提高清洁效率。
接着,将来自微波产生装置39的微波以与上述同样的通路发射到腔室1内的假晶片Wd的上方空间。此时的微波输出,功率密度例如为1.67~4.18W/cm2,作为300mm晶片的情况下的绝对值能够例如为2000~5000W左右。利用从平面天线板31经由透过板28发射至腔室1内的微波在腔室1内形成电磁场,Ar气体、NF3气体分别被等离子体化。在NF3气体的等离子体中,生成作为具有蚀刻作用活性种的F自由基(原子状氟),因此,能够利用该F自由基蚀刻并除去在腔室1内形成的沉积物(SixNy)(步骤S1)。
步骤S1的沉积物除去工序中的NF3气体流量优选为200~1000mL/min(sccm),更优选为400~600mL/min(sccm)。此外,Ar气流量的气体导入部15a和15b的合计值优选为200~1000mL/min(sccm),更优选400~800mL/min(sccm)。此外,处理压力优选为40~133.3Pa,更优选为66.7~106.6Pa。此外,作为处理温度优选为300℃以上,例如400~600℃。
经过规定时间后,停止从微波产生装置39的微波的供给,接着停止从非活性气体供给源17b、17d和NF3气体供给源17e的气体的供给,从而结束步骤S1的处理。在该步骤S1的沉积物除去工序中,通过使用含有NF3气体的处理气体,能够高效地除去腔室1内的沉积物(SiN)。
接着,实施用于除去由于使用NF3气体的沉积物除去工序而残留在腔室1内的氟的氟除去工序(步骤S2)。即,首先从气体供给系统16的非活性气体供给源17b、17d和氢气供给源17f将Ar气体和H2气体以规定的流量分别通过导入部15a、15b导入腔室1内。在该情况下,也将Ar气体从上下两层的气体导入部15a、15b分别导入,从而能够使在腔室1内使等离子体均匀化。
接着,将来自微波产生装置39的微波以与上述同样的通路发射到腔室1内的假晶片W上的空间。此时的微波输出,功率密度例如为0.41~2.51W/cm2,作为300mm晶片的情况下的绝对值能够例如为500~3000W左右。利用从平面天线板31经由透过板28发射至腔室1内的微波在腔室1内形成电磁场,Ar气体、H2气体分别被等离子体化。生成的H的离子、自由基与残留在腔室1内的氟反应而形成HF,通过排气管23被排气。这样,残留在腔室1内的氟被除去。在该情况下,从使得对腔室内部的损伤较小的观点出发,优选以比沉积物除去工序更低的输出功率形成等离子体。
步骤S2的氟除去工序中的H2气体流量优选为10~1000mL/min(sccm),更优选为30~200mL/min(sccm)。此外,Ar气体流量的气体导入部15a和15b的合计值优选为50~2000mL/min(sccm),更优选为600~1200mL/min(sccm)。H2/Ar流量比优选为0.005~2,更优选为0.0025~0.33。此外,处理压力优选为6.7~133.3Pa,更优选为13.3~40Pa。此外,作为处理温度,优选300℃以上例如400~600℃。
开始氟除去处理经过规定时间之后,停止从微波产生装置39的微波的供给,接着停止从非活性气体供给源17b、17d和氢气供给源17f的气体的供给。在该步骤S2的氟除去工序中,通过使用含有氢气的处理气体的等离子体,能够高效且迅速地将残留在腔室1内的氟以HF的形态排出,因此,之后在晶片W上形成有氮化硅膜时,能够抑制氟向膜中的混入,并且能够以高通过率进行处理。
在步骤S2的氟除去工序中,优选通过每规定时间切换微波的输出的ON/OFF,能够在腔室1内实施例如每10~360秒间歇地形成Ar气体和H2气体的等离子体的循环处理。在该情况下,可以在保持流动Ar气体和H2气体的状态下使等离子体ON/OFF,交替地进行等离子体生成和吹扫,也可以与等离子体一同使气体也ON/OFF,交替地进行等离子体生成和抽真空。
接着,实施用于除去残留在腔室1内的氢的氢除去工序(步骤S3)。即,首先从气体供给系统16的非活性气体供给源17b或17d将Ar气体以规定的流量分别通过气体导入部15a、15b导入至腔室1内。在该情况下,也将Ar气体从上下两层的气体导入部15a、15b分别导入,由此能够在腔室1内使等离子体均匀化。
接着,将来自微波产生装置39的微波以与上述同样的通路发射到腔室1内的假晶片Wd上的空间。此时的微波输出,功率密度例如为0.41~2.51W/cm2,作为300mm晶片的情况下的绝对值能够例如为500~3000W左右。利用从平面天线板31经由透过板28发射至腔室1内的微波在腔室1内形成电磁场,Ar气体被等离子体化。Ar气体的等离子体,由于其强溅射作用,使附着在腔室内壁面、腔室内部件上的氢游离。然后,在腔室1内游离的氢通过排气管23被排气。这样,残留在腔室1内的氢被除去。在该情况下,从使得对腔室内部的损伤较小的观点出发,优选以比沉积物除去工序更低的输出功率形成等离子体。
在步骤S3的氢除去工序中,优选通过每规定时间切换微波的输出的ON/OFF,能够在腔室1内实施例如每10~360秒间歇地形成Ar气体等离子体的循环处理。在该情况下,可以在保持流动Ar气体的状态下使等离子体ON/OFF,交替地进行等离子体生成和吹扫,也可以与等离子体一同使气体也ON/OFF,交替地进行等离子体生成和抽真空。
步骤S3的氢除去工序中的Ar气体流量优选是气体导入部15a和15b的合计值为10~2000mL/min(sccm)。此外,处理压力优选为6.7~133.3Pa。此外,作为处理温度,优选300℃以上例如400~600℃。
经过规定时间之后,停止从微波产生装置39的微波的供给,接着停止从非活性气体供给源17b、17d的气体的供给。在该步骤S3的氢除去工序中,通过使用包括Ar气体的处理气体的等离子体,能够使得附着在腔室1内的氢溅射,从而高效地游离、迅速排出,因此,能够抑制之后在晶片W上形成氮化硅膜时在该膜中的氢的混入,并且能够以高通过率进行处理。
依据以上的步骤S1~步骤S3的工序顺序,通过利用分别含有NF3气体、氢气、Ar气体的处理气体的等离子体依次对腔室1内进行处理的各工序的组合,能够减少等离子体处理装置100的腔室1内的残留氟和氢,并且以高通过率可靠地进行清洁。
此外,在本实施方式的等离子体清洁处理中,优选步骤S2的氟除去工序和步骤S3的氢除去工序实施规定次数例如至少一次以上,优选反复实施1~20次。由此,能够可靠地从腔室1内除去氟和氢。
进而,在清洁处理中的步骤S1~步骤S3的各工序中,能够通过对规定的波长的等离子体的发光进行监视而进行终点检测。在步骤S1~步骤S3的各工序中,通过检测部60测定腔室1内的等离子体中的SiN、原子状氢等的发光强度。在检测部,接受到的等离子体的发光被分成光谱。此处,从它们的光谱中测定随着清洁、调节的进行而增减的SiN、原子状氢的发光强度,进行监视。
例如,在步骤S1的沉积物除去工序中,通过检测部60对440.7nm的波长的等离子体中的SiN的发光进行监视,从而检测腔室1内的沉积物的残量,能够进行沉积物除去工序的终点检测。即使代替SiN、原子状氢而监视SiF、F、HF的发光,也能够同样进行终点检测。
此外,在步骤S2的氟除去工序中,通过检测部60对656.3nm的等离子体中的原子状氢的发光进行监视,从而能够检测腔室1内的氟的残量。残留在腔室1内的氟如上所述与氢反应作为HF向腔室1的外部排出,因此,残留氟越多,腔室1内的氢的消耗量越多,原子状氢的发光越小。从而,在步骤S2的氟除去工序中,通过监视导入腔室内的原子状氢的量,能够间接地掌握腔室1内的氟的量。此外,通过监视HF也同样能够进行氟的残量的检测。
进而,在步骤S3的氢除去工序中,通过检测部60对656.3nm的等离子体中的原子状氢的发光进行监视,从而检测腔室1内的原子状氢的残量,能够进行终点检测。
图5是表示在清洁处理进行利用检测部60的等离子体发光的测定,进行沉积物除去工序、氟除去工序和氢除去工序的终点判断的情况下的工序顺序的一个例子的流程图。在本实施方式中,在工艺控制器50的控制下,能够自动进行各工序的终点判断和向下一工序的切换。另外,各工序的内容已在上面进行了说明,因此在此处省略说明。
首先,当操作员通过用户接口51输入表示开始清洁处理的信息的指示时,从工艺控制器50向等离子体处理装置100的各部发送控制信号,开始沉积物除去工序(步骤S11)。在沉积物除去工序的过程中,通过检测部60测定等离子体中的SiN的发光(440.7nm),该测定值向工艺控制器50被依次送出。工艺控制器50针对SiN的发光(440.7nm)从存储部52读出作为沉积物除去工序的终点的基准而预先设定的基准值,通过将其与来自检测部60的测定值进行对照,判断测定值是否在基准值以下(步骤S12)。在该步骤S12中,判断SiN发光的测定值在基准值以下的情况下,从工艺控制器50向等离子体处理装置100的各部发送用于结束沉积物除去工序的控制信号,例如采取停止微波的供给、停止气体供给等措施(步骤S13)。另一方面,在步骤S12中判断SiN发光的测定值不在基准值以下的情况下,认为沉积物的除去仍不充分,继续进行沉积物除去工序的处理。反复进行步骤S12的判断直至SiN发光的测定值成为基准值以下。
在步骤S13的沉积物除去工序结束之后,从工艺控制器50向等离子体处理装置100的各部发送控制信号,开始氟除去工序(步骤S14)。在氟除去工序的过程中,通过检测部60测定等离子体中的原子状H的发光(656.3nm),该测定值向工艺控制器50被依次送出。工艺控制器50针对原子状H的发光(656.3nm)从存储部52读出作为氟除去工序的终点的基准而预先设定的基准值,通过将其与来自检测部60的测定值进行对照,判断测定值是否在基准值以上(步骤S15)。在该步骤S15中,判断原子状H的发光的测定值在基准值以上的情况下,从工艺控制器50向等离子体处理装置100的各部发送控制信号,例如采取停止微波的供给、停止气体供给等措施,结束氟除去工序(步骤S16)。另一方面,在步骤S15中判断原子状H的发光的测定值不在基准值以上的情况下,继续进行氟除去工序的处理。反复进行步骤S15的判断直至原子状H的发光的测定值成为基准值以上。
在步骤S16的氟除去工序结束之后,从工艺控制器50向等离子体处理装置100的各部发送控制信号,开始氢除去工序(步骤S17)。在氢除去工序的过程中,通过检测部60测定等离子体中的原子状H的发光(656.3nm),该测定值向工艺控制器50被依次送出。工艺控制器50针对原子状H的发光(656.3nm)从存储部52读出预先设定的基准值,通过将其与来自检测部60的测定值进行对照,判断测定值是否在基准值以下(步骤S18)。在该步骤S18中,判断原子状H的发光的测定值在基准值以下的情况下,从工艺控制器50向等离子体处理装置100的各部发送控制信号,例如采取停止微波的供给、停止气体供给等措施,结束氢除去工序(步骤S19)。另一方面,在步骤S18中判断原子状H的发光的测定值不在基准值以下的情况下,继续进行氢除去工序的处理。反复进行步骤S 18的判断直至原子状H的发光的测定值成为基准值以下。
如上所述,结束等离子体清洁处理的全部工序。在本实施方式中,利用检测部60监视等离子体的发光,进行等离子体清洁处理的各工序(沉积物除去工序、氟除去工序和氢除去工序)的终点检测,因此,能够适当管理工序时间。从而,能够避免由过度的等离子体处理引起的等离子体损伤的产生、由不充分的等离子体处理引起的颗粒的产生、对成膜的不良影响等,能够以低等离子体损伤得到优异的清洁效果。
此外,在等离子体处理装置100内,特别是在成膜具有高应力的绝缘膜(例如Si3N4、SiO2等),在这样的绝缘膜附着在腔室内的情况下,由于膜的强应力不能够保持与腔室内部件的紧贴状态,变得容易产生剥离。结果,产生颗粒,晶片W的连续处理变得困难。在这样的情况下,在一个或多个晶片W上进行绝缘膜的成膜时必须实施腔室内清洁。
图6是说明在等离子体CVD处理的过程中,实施等离子体清洁处理的等离子体CVD方法的顺序的图。例如在对至少一个晶片W进行等离子体CVD处理之后,进行第一次的清洁处理。该清洁处理,能够以与图4同样的步骤S1~步骤S3的顺序进行,如上所述,也能够反复实施步骤S2的氟除去处理和步骤S3的氢除去处理。在进行高应力的绝缘膜的成膜时容易产生颗粒,因此,优选这样每一个晶片或每多个晶片地实施清洁。
在第一次的清洁结束后,例如对第二个晶片W再次进行等离子体CVD处理,之后再次实施清洁处理。这样,通过交替实施等离子体CVD处理和原位(in situ)的清洁处理,能够使腔室1内的沉积物剥离,在产生颗粒之前可靠地被除去。从而,能够极力抑制颗粒的产生,进行稳定连续的成膜处理。
接着,说明对本发明的效果进行确认而得到的试验结果。
首先,对300mm晶片W进行氮化硅膜的成膜处理,之后在腔室1内以约500nm的厚度形成有沉积物的等离子体处理装置100中,在以下的条件下实施等离子体清洁处理。另外,本试验的气体流量、处理压力等的详细的设定条件记录于图7。另外,在该试验中,从上侧的气体导入部15a供给NF3气体,从下侧的气体导入部15b供给H2气体,但它们的气体导入位置也可以上下相反。
<等离子体清洁条件>
(1)沉积物除去工序:
Ar气体流量(气体导入部15a):400mL/min(sccm)
Ar气体流量(气体导入部15b):400mL/min(sccm)
NF3气体流量(气体导入部15a):500mL/min(sccm)
处理压力:100Pa(750mTorr)
处理温度:500℃
微波功率密度:1.67W/cm2
微波功率:2000W
处理时间:15分
(2)(氟除去工序)
Ar气体流量(气体导入部15a):500mL/min(sccm)
Ar气体流量(气体导入部15b):500mL/min(sccm)
H2气体流量(气体导入部15b):20mL/min(sccm)
处理压力:66.7Pa(500mTorr)
处理温度:500℃
微波功率密度:1.67W/cm2
微波功率:2000W
处理时间:10分
(3)(氢除去工序)
Ar气体流量(气体导入部15a):500mL/min(sccm)
Ar气体流量(气体导入部15b):500mL/min(sccm)
处理压力:66.7Pa(500mTorr)
处理温度:500℃
微波功率密度:1.67W/cm2
微波功率:2000W
处理时间:3分
另外,在该氢除去工序中,通过切换微波的输出的ON/OFF,在腔室1内实施每180秒间歇地形成Ar气体等离子体的循环处理。
如图7的时序图所示,步骤B~E是利用NF3等离子体的沉积物除去工序,步骤G~K是利用H2等离子体的氢除去工序;步骤M~Q是利用Ar等离子体的氢除去工序。在各工序的前后,实施抽真空(步骤A、步骤F、步骤L、步骤R)。此外,在各工序中,在进行等离子体点火(微波功率:ON)的步骤B、步骤H、步骤N中,将腔室内压力设定为126.6Pa,比各清洁条件的压力高,这是为了容易产生等离子体。而且,在接着的步骤C、步骤I、步骤O中分别将处理压力降低至清洁条件,在使气体流量稳定化之后,进而在接着的步骤D、步骤J、步骤P使等离子体为ON,实施各等离子体清洁。
在上述沉积物除去工序中,使用检测部60监视波长440.7nm的等离子体中的SiN的发光强度,进行终点检测。此外,在上述氟除去工序中,使用检测部60监视波长656.3nm的等离子体中的氢的发光强度,进行终点检测。进一步,在上述氢除去工序中,使用检测部60监视波长656.3nm的等离子体中的氢的发光强度,进行终点检测。各工序中的发光光谱表示在图8A~图8B中(纵轴表示已标准化的发光强度)。
根据图8A可知,随着利用Ar/NF3气体等离子体的处理时间的经过,等离子体中的SiN的发光强度下降,不久后稳定。从而,能够将等离子体中的SiN的发光强度成为预先设定的基准值以下的时刻判断为沉积物除去工序的终点。另外,在目视的观察中,在发光强度例如为1000以下的时刻,腔室1内的沉积物(SiN)基本已被除去。另外,也可以依据等离子体中的SiN的发光强度在该基准值以下稳定化的情况(例如发光光谱的接线的倾斜度在规定值以下)判断沉积物除去工序的终点。此外,也能够由目视进行沉积物除去工序的终点的判断。
此外,根据图8B可知,随着利用Ar/H2气体等离子体的处理时间的经过,等离子体中的原子状氢的发光强度增加。这表示,由于与氟反应(HF的形成)而被消耗的氢与腔室1内的氟的减少成反比例地增加。从而,能够将等离子体中的原子状氢的发光强度成为预先设定的基准值以上(例如6000以上)的时刻判断为氟除去工序的终点。另外,也可以依据等离子体中的原子状氢的发光强度在该基准值以上稳定化的情况(例如发光光谱的接线的倾斜度在规定值以下)判断氟除去工序的终点。
根据图8C可知,通过利用Ar气体等离子体的等离子体处理,等离子体中的原子状氢的发光强度减少,通过反复进行循环,能够稳定为大致一定的水平。这表示,通过等离子体处理,腔室1内的氢由于Ar气体等离子体的溅射力而游离、向腔室1外被排气从而减少。从而,能够将等离子体中的原子状氢的发光强度成为预先设定的基准值以下(例如250以下)的时刻判断为沉积物除去工序的终点。另外,也可以依据等离子体中的原子状氢的发光强度在该基准值以下稳定化的情况(例如发光光谱的接线的倾斜度在规定值以下)判断氢除去工序的终点。该氢除去工序优选至少反复实施2次以上。
根据以上的结果可知,在等离子体处理装置100的腔室1内,首先通过利用Ar/NF3气体等离子体进行处理能够高效地除去沉积物。此外,能够确认在除去沉积物之后的腔室1内,通过利用Ar/H2气体等离子体进行处理能够高效地除去残留的氟,进而,通过之后利用Ar气体等离子体对腔室1内进行处理能够有效地除去残留的氢。因此能够确认,通过实施本实施方式的等离子体清洁处理,能够高效地对使用等离子体CVD的等离子体处理装置100的腔室1内进行清洁。
另外,本发明并不限定于上述实施方式,能够进行各种变形。
例如,在图1的等离子体处理装置100中,采用了在进行等离子体CVD处理之后的腔室1内原位(in situ)地激励清洁气体的等离子体的结构,但并不限定于此,也能够以将例如在等离子体处理装置100的腔室1的外部激励起的清洁气体的等离子体导入腔室1内的远程等离子体方式进行清洁处理。
此外,在上述实施方式中,举例表示了RLSA方式的等离子体处理装置100,但本发明的清洁方法也能够应用于其它方式的等离子体处理装置,例如远程等离子体方式、ICP等离子体方式、ECR等离子体方式、表面反射波等离子体方式、磁控管等离子体方式等的等离子体处理装置。
此外,作为等离子体处理装置100中的成膜处理的对象,并不限于氮化硅膜(SiN),例如也能够应用于进行氧化硅膜(SiO2)膜、多晶硅膜、钨(W)膜、硅化钨(WSi)膜、钛(Ti)膜、氮化钛(TiN)膜、钽(Ta)膜、氮化钛(TaN)膜等成膜的情况下的清洁。
另外,在上述实施方式中的等离子体清洁方法中,在沉积物除去工序中使用NF3气体,但在例如使用Cl2、HCl、ClF3等含卤素气体除去腔室内沉积物的情况下,也能够通过之后实施利用H2的卤素除去工序、进而根据需要实施利用Ar等稀有气体的氢除去工序,从而实施等离子体清洁。

Claims (12)

1.一种等离子体清洁方法,用来在等离子体处理装置中,使用清洁气体的等离子体对等离子体处理装置中沉积有沉积物的处理容器内进行清洁,该等离子体清洁方法的特征在于,包括:
向所述处理容器内导入含有NF3气体的清洁气体并形成等离子体,除去所述处理容器内的沉积物的工序;和
在除去所述沉积物之后,向所述处理容器内导入含有氢气的气体并形成等离子体,除去残留在所述处理容器内的氟的工序。
2.如权利要求1所述的等离子体清洁方法,其特征在于:
还包括在除去氟之后,向所述处理容器内导入含有稀有气体的气体并形成等离子体,除去残留在所述处理容器内的氢的工序。
3.如权利要求2所述的等离子体清洁方法,其特征在于:
反复进行所述除去氟的工序和所述除去氢的工序。
4.如权利要求2所述的等离子体清洁方法,其特征在于:
在除去所述沉积物时、除去氟时和除去氢时,通过分别对等离子体的发光进行监视而进行终点检测。
5.如权利要求1所述的等离子体清洁方法,其特征在于:
所述等离子体处理装置是由具有多个隙缝的平面天线向所述处理容器内导入微波而形成等离子体,在被处理基板表面沉积氮化硅膜的等离子体CVD装置。
6.一种等离子体清洁方法,用来在设置有具有多个隙缝的平面天线的微波处理装置中,使用清洁气体的等离子体对微波处理装置中沉积有沉积物的处理容器内进行清洁,该等离子体清洁方法的特征在于,包括:
向所述处理容器内导入含有NF3气体的清洁气体的工序;
通过所述平面天线向所述处理容器内供给微波,从而在所述处理容器内形成所述清洁气体的等离子体并生成F的活性种的工序;
使所述处理容器内的所述沉积物与所述F的活性种反应使所述沉积物挥发的工序;和
将所述已挥发的沉积物成分从所述处理容器排出的工序。
7.如权利要求6所述的等离子体清洁方法,其特征在于:
还包括在排出所述已挥发的沉积物成分之后,向所述处理容器内导入含有氢气的气体并形成等离子体,除去残留在所述处理容器内的氟的工序。
8.一种等离子体CVD方法,用来在等离子体CVD装置的处理容器内在被处理基板表面沉积氮化硅膜,其特征在于,包括:
利用向所述处理容器内导入的包括含氮气体和含硅气体的气体形成等离子体,利用该等离子体在被处理基板的表面沉积氮化硅膜的工序;
在所述处理容器内导入含有NF3气体的清洁气体并形成等离子体,除去所述处理容器内的沉积物的工序;
在除去所述沉积物之后,向所述处理容器内导入含有氢气的气体并形成等离子体,除去残留在所述处理容器内的氟的工序;和
在除去氟之后,进一步向所述处理容器内导入含有稀有气体的气体并形成等离子体,除去残留在所述处理容器内的氢的工序。
9.如权利要求8所述的等离子体CVD方法,其特征在于:
反复进行所述除去氟的工序和所述除去氢的工序。
10.如权利要求8所述的等离子体CVD方法,其特征在于:
在除去氢之后,再次进行所述等离子体CVD工序。
11.一种存储介质,其在计算机上动作,存储有在使用清洁气体的等离子体对等离子体处理装置的处理容器内进行清洁时控制所述等离子体处理装置的程序,其特征在于:
所述程序在执行时,以进行等离子体清洁方法的方式使计算机控制所述等离子体处理装置,该等离子体清洁方法包括下述工序:
向所述处理容器内导入含有NF3气体的清洁气体并形成等离子体,除去所述处理容器内的沉积物的工序;和在除去所述沉积物之后,向所述处理容器内导入含有氢气的气体并形成等离子体,除去残留在所述处理容器内的氟的工序。
12.一种等离子体处理装置,其特征在于,包括:
使用等离子体处理被处理基板、能够真空排气的处理容器;
具有向所述处理容器内导入微波的多个隙缝的平面天线;
向所述处理容器内供给清洁气体的气体供给机构;和
控制部,其控制各结构部以实施等离子体清洁方法,该等离子体清洁方法包括:向所述处理容器内导入含有NF3气体的清洁气体并形成等离子体,除去所述处理容器内的沉积物的工序;和在除去所述沉积物之后,向所述处理容器内导入含有氢气的气体并形成等离子体,除去残留在所述处理容器内的氟的工序。
CN2007800347636A 2006-09-19 2007-09-18 等离子体清洁方法和等离子体cvd方法 Expired - Fee Related CN101517713B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP252446/2006 2006-09-19
JP2006252446 2006-09-19
PCT/JP2007/068098 WO2008035678A1 (fr) 2006-09-19 2007-09-18 Processus de nettoyage de plasma et procédé cvd plasma

Publications (2)

Publication Number Publication Date
CN101517713A true CN101517713A (zh) 2009-08-26
CN101517713B CN101517713B (zh) 2011-02-09

Family

ID=39200503

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800347636A Expired - Fee Related CN101517713B (zh) 2006-09-19 2007-09-18 等离子体清洁方法和等离子体cvd方法

Country Status (6)

Country Link
US (1) US8366953B2 (zh)
JP (1) JP5241499B2 (zh)
KR (1) KR101057877B1 (zh)
CN (1) CN101517713B (zh)
TW (1) TWI428962B (zh)
WO (1) WO2008035678A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103035466A (zh) * 2011-10-08 2013-04-10 北京北方微电子基地设备工艺研究中心有限责任公司 一种预清洗方法及等离子体设备
CN104233234A (zh) * 2013-06-17 2014-12-24 沙嫣 一种带氟清理装置的pecvd炉及其氟清理方法
CN105448659A (zh) * 2014-09-25 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种提高清洗效率的方法
CN105714270A (zh) * 2016-04-15 2016-06-29 信利(惠州)智能显示有限公司 化学气相沉积清洗终点监测方法及其系统
CN106373868A (zh) * 2016-10-10 2017-02-01 昆山龙腾光电有限公司 一种阵列基板的制造方法
CN107968032A (zh) * 2010-10-15 2018-04-27 应用材料公司 用以降低在等离子体蚀刻室中的粒子缺陷的方法及设备
CN110747450A (zh) * 2019-09-12 2020-02-04 常州比太科技有限公司 一种hit镀膜设备在线清洗后腔体寿命快速恢复方法
CN113699507A (zh) * 2020-12-28 2021-11-26 友达光电股份有限公司 控制工艺腔室清洁气体用量的方法及工艺处理系统
CN113699507B (zh) * 2020-12-28 2024-04-26 友达光电股份有限公司 控制工艺腔室清洁气体用量的方法及工艺处理系统

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2012147680A1 (ja) * 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
JP5659079B2 (ja) * 2011-05-10 2015-01-28 株式会社アルバック ZrBO膜の形成装置
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
WO2013141370A1 (ja) * 2012-03-22 2013-09-26 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
KR101965256B1 (ko) * 2012-10-17 2019-04-04 삼성디스플레이 주식회사 유기 발광 표시 장치
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9246133B2 (en) * 2013-04-12 2016-01-26 Semiconductor Energy Laboratory Co., Ltd. Light-emitting module, light-emitting panel, and light-emitting device
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
JP6524753B2 (ja) * 2015-03-30 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6661283B2 (ja) * 2015-05-14 2020-03-11 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102058106B1 (ko) * 2015-11-20 2019-12-20 주식회사 원익아이피에스 반도체 소자의 제조방법
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6845773B2 (ja) 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
JP2020077750A (ja) * 2018-11-07 2020-05-21 東京エレクトロン株式会社 クリーニング方法及び成膜方法
JP7190915B2 (ja) * 2019-01-18 2022-12-16 東京エレクトロン株式会社 基板処理装置の洗浄方法、および基板処理装置
JP7385809B2 (ja) * 2019-09-05 2023-11-24 日新イオン機器株式会社 イオンビーム照射装置のクリーニング方法
JP2022086144A (ja) * 2020-11-30 2022-06-09 東京エレクトロン株式会社 チャンバーコンディションの診断方法
JP2022191960A (ja) * 2021-06-16 2022-12-28 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
WO2023238266A1 (ja) * 2022-06-08 2023-12-14 株式会社日立ハイテク プラズマ処理方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5201990A (en) * 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
JPH0732141B2 (ja) * 1992-09-11 1995-04-10 株式会社半導体エネルギー研究所 炭素膜作製方法
JPH0793276B2 (ja) 1993-12-14 1995-10-09 アプライド マテリアルズ インコーポレイテッド 薄膜形成前処理方法および薄膜形成方法
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
JP2002371360A (ja) * 2001-06-15 2002-12-26 Matsushita Electric Ind Co Ltd 半導体の製造方法
JP2003037105A (ja) 2001-07-26 2003-02-07 Tokyo Electron Ltd プラズマ処理装置及び方法
JP4374854B2 (ja) * 2001-12-25 2009-12-02 東京エレクトロン株式会社 処理装置及びそのクリーニング方法
US6911233B2 (en) * 2002-08-08 2005-06-28 Toppoly Optoelectronics Corp. Method for depositing thin film using plasma chemical vapor deposition
JP3657942B2 (ja) * 2003-01-16 2005-06-08 沖電気工業株式会社 半導体製造装置の洗浄方法、及び半導体装置の製造方法
JP2004335789A (ja) * 2003-05-08 2004-11-25 Tadahiro Omi 基板処理装置のクリーニング方法
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
JP2006086325A (ja) * 2004-09-16 2006-03-30 Tokyo Electron Ltd クリーニングの終点検出方法
JP4718189B2 (ja) * 2005-01-07 2011-07-06 東京エレクトロン株式会社 プラズマ処理方法
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
US7510976B2 (en) * 2006-04-21 2009-03-31 Applied Materials, Inc. Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107968032A (zh) * 2010-10-15 2018-04-27 应用材料公司 用以降低在等离子体蚀刻室中的粒子缺陷的方法及设备
US10658161B2 (en) 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN103035466A (zh) * 2011-10-08 2013-04-10 北京北方微电子基地设备工艺研究中心有限责任公司 一种预清洗方法及等离子体设备
CN103035466B (zh) * 2011-10-08 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种预清洗方法及等离子体设备
CN104233234A (zh) * 2013-06-17 2014-12-24 沙嫣 一种带氟清理装置的pecvd炉及其氟清理方法
CN105448659A (zh) * 2014-09-25 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种提高清洗效率的方法
CN105714270A (zh) * 2016-04-15 2016-06-29 信利(惠州)智能显示有限公司 化学气相沉积清洗终点监测方法及其系统
CN106373868A (zh) * 2016-10-10 2017-02-01 昆山龙腾光电有限公司 一种阵列基板的制造方法
CN106373868B (zh) * 2016-10-10 2020-03-10 昆山龙腾光电股份有限公司 一种阵列基板的制造方法
CN110747450A (zh) * 2019-09-12 2020-02-04 常州比太科技有限公司 一种hit镀膜设备在线清洗后腔体寿命快速恢复方法
CN113699507A (zh) * 2020-12-28 2021-11-26 友达光电股份有限公司 控制工艺腔室清洁气体用量的方法及工艺处理系统
CN113699507B (zh) * 2020-12-28 2024-04-26 友达光电股份有限公司 控制工艺腔室清洁气体用量的方法及工艺处理系统

Also Published As

Publication number Publication date
CN101517713B (zh) 2011-02-09
JPWO2008035678A1 (ja) 2010-01-28
KR20090053823A (ko) 2009-05-27
JP5241499B2 (ja) 2013-07-17
TWI428962B (zh) 2014-03-01
KR101057877B1 (ko) 2011-08-19
US8366953B2 (en) 2013-02-05
TW200830375A (en) 2008-07-16
WO2008035678A1 (fr) 2008-03-27
US20090308840A1 (en) 2009-12-17

Similar Documents

Publication Publication Date Title
CN101517713B (zh) 等离子体清洁方法和等离子体cvd方法
CN100539039C (zh) 集成工艺调制一种利用hdp-cvd间隙填充的新型方法
CN100530554C (zh) Hdp-cvd多步间隙填充处理
US7799698B2 (en) Deposition-selective etch-deposition process for dielectric film gapfill
US6867086B1 (en) Multi-step deposition and etch back gap fill process
US8268684B2 (en) Method and apparatus for trench and via profile modification
TWI604082B (zh) 矽氮化物膜之成膜方法
EP1182273B1 (en) Gas chemistry cycling to achieve high aspect ratio gapfill with hdp-cvd
US7951683B1 (en) In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
CN101156234B (zh) 基板的氮化处理方法和绝缘膜的形成方法
CN101454881B (zh) 绝缘膜的形成方法和半导体装置的制造方法
US9644265B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer readable recording medium
US8080477B2 (en) Film formation apparatus and method for using same
JPH05117867A (ja) 酸化シリコン膜の製造方法および製造装置
KR20090119661A (ko) 손상 없는 갭 충진을 활성화하는 보호 층
KR20100014557A (ko) 질화 규소막의 형성 방법, 비휘발성 반도체 메모리 장치의 제조 방법, 비휘발성 반도체 메모리 장치 및 플라즈마 처리 장치
CN101069274B (zh) 半导体装置的制造方法和等离子体氮化处理方法
TW200903781A (en) Silicon nitride film and nonvolatile semiconductor memory device
CN109868459A (zh) 一种半导体设备
JPH08298262A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110209

Termination date: 20140918

EXPY Termination of patent right or utility model