WO2008035678A1 - Processus de nettoyage de plasma et procédé cvd plasma - Google Patents

Processus de nettoyage de plasma et procédé cvd plasma Download PDF

Info

Publication number
WO2008035678A1
WO2008035678A1 PCT/JP2007/068098 JP2007068098W WO2008035678A1 WO 2008035678 A1 WO2008035678 A1 WO 2008035678A1 JP 2007068098 W JP2007068098 W JP 2007068098W WO 2008035678 A1 WO2008035678 A1 WO 2008035678A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
gas
processing
cleaning
hydrogen
Prior art date
Application number
PCT/JP2007/068098
Other languages
English (en)
French (fr)
Inventor
Masayuki Kohno
Tatsuo Nishita
Toshio Nakanishi
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to JP2008535357A priority Critical patent/JP5241499B2/ja
Priority to US12/441,828 priority patent/US8366953B2/en
Priority to CN2007800347636A priority patent/CN101517713B/zh
Priority to KR1020097005392A priority patent/KR101057877B1/ko
Publication of WO2008035678A1 publication Critical patent/WO2008035678A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Definitions

  • the present invention relates to a plasma cleaning method and a plasma CVD method for cleaning the inside of a processing vessel of a plasma CVD (Chemical Vapor Deposition) apparatus for depositing a silicon nitride film on a surface of a substrate to be processed.
  • a plasma CVD Chemical Vapor Deposition
  • a silicon nitride film is used as an insulating film or a protective film in various semiconductor devices.
  • Such a silicon nitride film contains, for example, silicon such as silane (SiH) as a source gas.
  • silicon nitride is also deposited in portions other than the substrate to be processed in the chamber by repeating film formation on the substrate to be processed. If the deposit in the chamber formed in this way exceeds a certain film thickness, the film formation in the plasma CVD apparatus is adversely affected. For example, deposits formed in the chamber 1 affect the gas flow and heat distribution in the chamber 1 and reduce the film formation rate and film formation uniformity. There is also a concern that particles are generated from the deposits and adhere to the substrate to be processed. For this reason, it is necessary to periodically clean the inside of the chamber and remove deposits formed in the chamber. For this reason, for example, the inside of the chamber is etched using a reactive gas plasma containing a fluorine compound such as NF.
  • plasma cleaning usually uses a gas species such as NF that has an etching action on the deposit, and the chamber
  • a process of removing fluorine in the chamber by plasma of reducing gas is provided.
  • the inner chamber member for example, the mounting table (susceptor) for mounting the substrate to be processed, the cover ring for guiding the substrate to be processed, etc.
  • the plasma damage becomes stronger and the throughput is reduced.
  • plasma damage is suppressed or high throughput is attempted, cleaning will be inadequate and particles will be generated or film formation will be adversely affected.
  • An object of the present invention is to provide a brass mating method that can reliably reduce residual fluorine with high throughput.
  • Another object of the present invention is to provide a plasma tapping method capable of suppressing plasma damage to components in a chamber as much as possible by easily grasping the end point of cleaning.
  • Still another object of the present invention is to provide a plasma C comprising such a plasma cleaning method.
  • a plasma cleaning method in a plasma processing apparatus for cleaning a processing container in which deposits are accumulated using plasma of a cleaning gas.
  • Cleaning gas containing NF gas in the processing container is provided.
  • a plasma cleaning method comprises removing a fluorine gas remaining in the processing vessel after introducing a gas containing hydrogen gas into the processing vessel to form a plasma.
  • a gas containing a rare gas is introduced into the processing container to form plasma, and hydrogen remaining in the processing container is removed.
  • the power S can be included.
  • the removal of the fluorine and the removal of the hydrogen may be repeated.
  • the end point can be detected by monitoring the emission of plasma.
  • a plasma CVD apparatus in which a microwave is introduced into the processing container by a planar antenna having a plurality of slots to form plasma, and a silicon nitride film is deposited on the surface of the substrate to be processed is preferable. Can be used.
  • a cleaning gas plasma is generated in a processing container in which deposits are deposited.
  • a cleaning method using a plasma cleaning method wherein a cleaning gas containing NF gas is introduced into the processing vessel, and a mask is passed through the planar antenna.
  • the plasma of the tarrying gas is formed in the processing container to generate F active species, and the deposits in the processing container and the F
  • a plasma cleaning method comprising reacting the active species with the active species to volatilize the deposit and discharging the volatilized deposit component from the processing container.
  • the method further includes removing.
  • a plasma CVD method for depositing a silicon nitride film on a surface of a substrate to be processed in a processing vessel of a plasma CVD apparatus, which contains nitrogen introduced into the processing vessel.
  • a plasma is formed by a gas containing a gas and a silicon-containing gas.
  • a plasma CDV method further comprising introducing a gas containing a rare gas into the processing vessel to form a plasma and removing hydrogen remaining in the processing vessel.
  • the force S can be used to repeatedly perform the removal of the fluorine and the removal of the hydrogen.
  • the plasma CVD process can be performed again.
  • a program that operates on a computer and controls the plasma processing apparatus when cleaning the inside of the processing container of the plasma processing apparatus using plasma of a cleaning gas is stored.
  • the program When the program is executed, the program introduces a cleaning gas containing NF gas into the processing container to form plasma.
  • a gas containing hydrogen gas is introduced into the processing container to form a plasma, which remains in the processing container.
  • a storage medium that allows a computer to control the plasma processing apparatus so that a plasma cleaning method including removing fluorine is performed.
  • a processing chamber capable of vacuum exhaust for processing a substrate to be processed using plasma, and a plurality of slots for introducing microwaves into the processing chamber.
  • Forming a plasma by introducing a planar antenna, a gas supply mechanism for supplying a cleaning gas into the processing container, and a cleaning gas containing NF gas in the processing container;
  • a gas containing hydrogen gas is introduced into the processing container to form plasma, and fluorine remaining in the processing container.
  • the cleaning gas containing NF gas is introduced into the processing container to add plasma.
  • a gas containing hydrogen gas is introduced into the processing vessel. Since the residual fluorine is removed by forming a plasma, the residual fluorine in the processing vessel used for plasma CVD can be reliably reduced with high throughput, and the amount of fluorine mixed into the film formed on the substrate is reduced. can do.
  • the time management is optimized. Therefore, it is possible to reduce the plasma damage to the inner member of the chamber due to excessive plasma processing as much as possible, and to achieve higher throughput cleaning with force S.
  • FIG. 1 is a schematic sectional view showing an example of a plasma processing apparatus suitable for carrying out the method of the present invention.
  • FIG. 2 is a drawing showing the structure of a planar antenna plate.
  • FIG. 4 is a flowchart showing an example of a process procedure of a plasma cleaning process.
  • FIG. 5 is a flowchart showing an example of a process procedure of a plasma cleaning process for detecting an end point.
  • FIG. 6 is a flowchart showing an example of a process procedure of a plasma CVD method incorporating the plasma tailing method of the present invention.
  • FIG. 7 is a timing chart of plasma cleaning processing of a film forming apparatus in a test example.
  • FIG. 8B is a diagram showing an emission spectrum of atomic hydrogen in plasma in a fluorine removal step of plasma cleaning treatment.
  • FIG. 8C is a graph showing an emission spectrum of atomic hydrogen in plasma in the hydrogen removal step of the plasma cleaning process.
  • FIG. 1 is a cross-sectional view schematically showing an example of a plasma processing apparatus capable of performing the plasma cleaning method and the plasma CVD method of the present invention.
  • This plasma processing apparatus 100 has a high density by introducing microwaves into a processing vessel with a planar antenna having a plurality of slots, particularly RLSA (Radial Line Slot Antenna). It is configured as an RLSA microwave plasma processing device that can generate microwave-excited plasma with a low electron temperature, with a plasma density of 1 X 10 1 () to 5 X 10 1 2 / cm 3 , and 0.7
  • the low electron temperature plasma of ⁇ 2eV enables processing with very little damage to the film. Therefore, it can be suitably used for the purpose of forming a silicon nitride film by plasma CVD in the process of manufacturing various semiconductor devices.
  • the plasma processing apparatus 100 includes a substantially cylindrical chamber 11 that is airtight and grounded.
  • the chamber 11 may have a rectangular tube shape.
  • a circular opening 10 is formed in a substantially central portion of the bottom wall la of the chamber 11, and an exhaust chamber 11 that communicates with the opening 10 and protrudes downward is provided on the bottom wall la. Yes.
  • This exhaust chamber 11 is connected to an exhaust device 24 via an exhaust pipe 23!
  • a mounting table 2 made of ceramics such as A1N having high thermal conductivity in order to horizontally support a silicon wafer, which is a substrate to be processed (hereinafter simply referred to as “wafer”) W. Is provided.
  • the mounting table 2 is supported by a support member 3 made of ceramics such as a cylindrical A1N extending upward from the center of the bottom of the exhaust chamber 11.
  • the mounting table 2 is provided with a cover ring 4 for covering the outer edge and guiding the wafer W.
  • the cover ring 4 is a member made of a material such as quartz, A1N, Al 2 O, or SiN.
  • a resistance heating type heater 5 is embedded in the mounting table 2, and this heater 5 heats the mounting table 2 by being supplied with power from a heater power source 5a, and the heat is applied to the substrate to be processed. There C Heat W uniformly. Further, the mounting table 2 is provided with a thermocouple 6 so that the heating temperature of the wafer W can be controlled within a range from room temperature to 900 ° C., for example. On the mounting table 2, wafer support pins (not shown) for supporting the wafer W and moving it up and down are provided so as to protrude and retract with respect to the surface of the mounting table 2.
  • a cylindrical liner 7 made of quartz is provided on the inner periphery of the chamber 11 to prevent metal contamination due to the material constituting the chamber 1.
  • a baffle plate 8 having a large number of holes 8a for uniformly exhausting the inside of the chamber 11 is provided in an annular shape on the outer peripheral side of the mounting table 2, and the baffle plate 8 is supported by a plurality of support columns 9. ing.
  • annular gas introduction portions 15a and 15b are provided in two upper and lower stages, and the film introduction raw material gas is provided in each gas introduction portion 15a and 15b.
  • a gas supply system 16 for supplying plasma excitation gas and cleaning gas is connected.
  • the gas introduction portions 15a and 15b may be arranged in a nozzle shape or a shower shape.
  • the gas supply system 16 includes, for example, a nitrogen-containing gas supply source 17a, an inert gas supply source 17b, a Si-containing gas supply source 17c, an inert gas supply source 17d, an NF gas supply source 17e, and a hydrogen gas supply.
  • the nitrogen-containing gas supply source 17a and the inert gas supply source 17b are connected to the upper gas introduction part 15a, and the Si-containing gas supply source 17c, the inert gas supply source 17d, the NF gas supply source 17e, and the hydrogen gas supply source 17f is connected to the lower gas inlet 15b.
  • the NF gas may be introduced by being connected to the upper gas introduction part 15a.
  • Si-containing gas that is a film forming source gas
  • SiH silane
  • SiH disilane
  • nitrogen-containing gas that is a film forming source gas includes, for example, N, ammonia, MMH (moderate).
  • Hydrazine derivatives such as nomethylhydrazine
  • inert gas for example, N gas or rare gas can be used.
  • Ar gas, Kr gas, Xe gas, He gas can be used as the rare gas, which is preferable from the viewpoint of power economy and plasma stability!
  • the nitrogen-containing gas and the inert gas are supplied from the nitrogen-containing gas supply source 17a and the inert gas supply source 17b to the gas introduction unit 15a through the gas line 20a, and into the chamber 1 from the gas introduction unit 15a. be introduced.
  • Si-containing gas, inert gas, NF gas and hydrogen gas are supplied from the nitrogen-containing gas supply source 17a and the inert gas supply source 17b to the gas introduction unit 15a through the gas line 20a, and into the chamber 1 from the gas introduction unit 15a.
  • Each gas reaches the gas introduction part 15b via the gas line 20b, and is introduced into the chamber 1 from the gas introduction part 15b.
  • Each gas line 20a, 20b connected to each gas supply source is provided with a mass flow controller 21 and an opening / closing valve 22 before and after the mass flow controller 21 so that the supplied gas can be switched and the flow rate can be controlled. It is composed.
  • An exhaust pipe 23 is connected to a side surface of the exhaust chamber 11, and the exhaust apparatus 24 including a high-speed vacuum pump is connected to the exhaust pipe 23.
  • the gas in the chamber 11 is uniformly discharged into the space 11 a of the exhaust chamber 11 through the baffle plate 8 and is exhausted through the exhaust pipe 23.
  • the inside of the chamber 11 can be depressurized at a high speed to a predetermined degree of vacuum, for example, 0.133 Pa.
  • a loading / unloading port 25 for loading / unloading the wafer W to / from a transfer chamber (not shown) adjacent to the plasma processing apparatus 100 and the loading / unloading port 25 are opened and closed.
  • a gate valve 26 is provided!
  • the upper portion of the chamber 11 is an opening, and an annular upper plate 27 is joined to the opening.
  • the lower part of the inner periphery of the upper plate 27 protrudes toward the inner space of the chamber and forms an annular support part 27a.
  • the support 27a is made of a dielectric, such as quartz, Al 2 O, or A1N, and transmits microwaves.
  • the overplate 28 is provided in an airtight manner via the seal member 29. Therefore, the inside of the chamber 1 is kept airtight.
  • a disc-shaped planar antenna plate 31 is provided above the microwave transmitting plate 28 so as to face the mounting table 2.
  • the shape of the planar antenna plate is not limited to a disk shape, and may be a square plate shape, for example.
  • the planar antenna plate 31 is locked to the upper end of the side wall of the chamber 11.
  • the planar antenna plate 31 is made of, for example, a copper plate or an aluminum plate whose surface is plated with gold or silver, and a plurality of slot-like microwave radiation holes 32 that radiate microwaves are formed through a predetermined pattern. It has become. [0032] For example, as shown in FIG.
  • the microwave radiation holes 32 form a pair, and the pair of microwave radiation holes 32 are typically arranged in a "T" shape, A plurality of these pairs are arranged concentrically.
  • the length and arrangement interval of the microwave radiation holes 32 are determined according to the wavelength ( ⁇ g) of the microphone mouth wave.
  • the microwave radiation holes 32 are arranged so that the distance between them is ⁇ g / 4 or g. Is done.
  • the interval between adjacent microwave radiation holes 32 formed concentrically is indicated by.
  • the microwave radiation hole 32 may have another shape such as a circular shape or an arc shape.
  • the arrangement form of the microwave radiation holes 32 is not particularly limited, and may be arranged in a spiral shape or a radial shape other than a concentric shape.
  • a slow wave material 33 having a dielectric constant larger than that of a vacuum is provided on the upper surface of the planar antenna plate 31.
  • the slow wave material 33 has a function of adjusting the plasma by shortening the wavelength of the microwave because the wavelength of the microwave becomes longer in vacuum.
  • the planar antenna plate 31 and the transmission plate 28, and the slow wave member 33 and the planar antenna plate 31 are preferably brought into contact with each other, whether they are in contact with each other or separated from each other. Masle.
  • a shield lid 34 made of a metal material such as aluminum or stainless steel and having a waveguide function is provided on the upper surface of the chamber 11 so as to cover the planar antenna plate 31 and the slow wave material 33. Is provided. The upper surface of the chamber 11 and the shield cover 34 are sealed by a seal member 35.
  • a cooling water flow path 34a is formed in the shield lid 34, and the cooling lid 34, the slow wave material 33, the planar antenna plate 31, and the transmission plate 28 are cooled by passing cooling water therethrough. It is like that.
  • the shield lid 34 is grounded.
  • An opening 36 is formed at the center of the upper wall of the shield lid 34, and a waveguide 37 is connected to the opening 36.
  • a microwave generator 39 for generating microwaves is connected to the end of the waveguide 37 via a matching circuit 38. Thereby, for example, a microwave having a frequency of 2.45 GHz generated by the microphone mouth wave generating device 39 is propagated to the planar antenna plate 31 through the waveguide 37.
  • the microwave frequency 8.35 GHz, 1.98 GHz, or the like can be used.
  • the waveguide 37 has a circular cross-section extending upward from the opening 36 of the shield lid 34.
  • the mode converter 40 between the rectangular waveguide 37b and the coaxial waveguide 37a has a function of converting the microphone mouth wave propagating in the TE mode in the rectangular waveguide 37b into the TEM mode.
  • An inner conductor 41 extends in the center of the coaxial waveguide 37a, and the inner conductor 41 is connected and fixed to the center of the planar antenna plate 31 at the lower end thereof. As a result, the microwave is efficiently and uniformly propagated radially and uniformly to the planar antenna plate 31 via the inner conductor 41 of the coaxial waveguide 37a.
  • a detection unit 60 that detects light emission of plasma in the chamber 11 is disposed on the side of the chamber 11 of the plasma processing apparatus 100.
  • the detection unit 60 includes a light receiving unit (not shown) and a spectroscopic measurement unit such as a monochromator.
  • the detection unit 60 has a predetermined wavelength of plasma generated in the chamber 11 through a window 61 provided on the side wall of the chamber 11. It is configured to monitor the light emission at.
  • the emission intensity of radicals in the plasma can be measured through a force window 61 and a liner 7 provided with a cylindrical liner 7 made of quartz.
  • the installation position of the detection unit 60 is not particularly limited, and can be any position as long as stable measurement is possible!
  • Each component of the plasma processing apparatus 100 is connected to and controlled by a process controller 50 including a microprocessor (computer).
  • a user interface 51 consisting of a keyboard for an operator to input commands to manage the plasma processing apparatus 100, a display for visualizing and displaying the operating status of the plasma processing apparatus 100, etc. Has been.
  • the process controller 50 stores a control program (software) for realizing various processes executed by the plasma processing apparatus 100 under the control of the process controller 50, and recipes in which processing condition data is recorded.
  • the stored storage unit 52 is connected.
  • recipes such as the control program and processing condition data are stored in a computer-readable storage medium such as a CD-ROM, a hard disk, a flexible disk, or a flash memory. It is also possible to use the data stored in the network, or to transmit it online from another device, for example, via a dedicated line.
  • the process controller 50 since the process controller 50 is connected to the detection unit 60 by connection means (not shown), the process controller 50 analyzes the light emission of a predetermined wavelength in the plasma detected by the detection unit 60, and each process in the tailing process is performed. Determine the end point of the process. Then, in accordance with an instruction from the process controller 50, for example, each process in the cleaning process is automatically switched, or the fact that each process is completed is displayed on the display of the user interface 51.
  • the plasma processing apparatus 100 configured in this way is capable of proceeding with damage-free plasma processing to the underlayer film and the like at a low temperature of 800 ° C or lower, and is excellent in plasma uniformity. Uniformity can be realized stably.
  • FIG. 3 shows a timing chart when the plasma cleaning process is performed after the plasma CVD process.
  • a silicon nitride film can be deposited on the surface of the wafer W by the plasma CVD method according to the following procedure.
  • the gate valve 26 is opened, and the wafer W is loaded into the chamber 11 from the loading / unloading port 25 and mounted on the mounting table 2. Then, from the nitrogen-containing gas supply source 17a and the Si-containing gas supply source 17c of the gas supply system 16, nitrogen-containing gas and silicon-containing gas are respectively introduced into the chamber 11 at a predetermined flow rate through the gas introduction portions 15a and 15b. Introduce.
  • the microwave from the microwave generator 39 is guided through the matching circuit 38 to the waveguide.
  • the microwave output is about 500 to 3000 W, for example, with the force S.
  • An electromagnetic field is formed in the chamber 1 by the microwaves radiated from the planar antenna plate 31 through the transmission plate 28 to the chamber 11, and the nitrogen-containing gas and the silicon-containing gas are each plasmamed.
  • This microwave-excited plasma has a high density of approximately 1 ⁇ 10 10 to 5 ⁇ 10 12 / cm 3 by radiating microwaves from many slots of the planar antenna plate 31, that is, the microwave radiation holes 32.
  • the low electron temperature plasma is about 1.2 eV or less.
  • the microwave-excited plasma thus formed has little plasma damage due to ions or the like on the underlying film.
  • dissociation of the source gas proceeds in the plasma, and due to the reaction of active species such as SiH, NH, and N, silicon nitride SixNy (where x and y are not necessarily determined stoichiometrically and vary depending on conditions. Are deposited on the surface of the wafer W, the inner wall of the chamber, and the surface of the inner member of the chamber.
  • the flow rate of NH gas is 100
  • 2 6 is preferably;! To 30 mL / min (sccm), more preferably 5 to 20 mL / min (sccm).
  • the processing pressure is 13.3 to 400 Pa force.
  • the plasma CVD treatment temperature is more preferably 400 to 500 ° C., preferably 300 ° C. or more as the heating temperature of the mounting table 2.
  • N gas is used as the nitrogen-containing gas
  • Si H gas is used as the silicon-containing gas
  • N gas flow rate is preferably 100-3000mL / min (sccm) 800-2000
  • Si H gas flow rate is;! ⁇ 30mL / min (sccm)
  • the processing temperature of plasma CVD is the heating temperature of the mounting table 2.
  • the temperature is preferably 300 ° C or more, preferably 400 to 600. The temperature is preferred.
  • the plasma cleaning process can be performed according to steps S1 to S3 shown in FIG.
  • the gate valve 26 is opened, the loading / unloading outlet 25 force, and the dummy wafer Wd are loaded into the chamber 1 and placed on the mounting table 2.
  • the dummy wafer Wd may not be used.
  • Ar gas and NF gas are mixed at a predetermined flow rate through the gas inlets 15a and 15b, respectively.
  • Ar gas is introduced into two upper and lower stages via the gas introduction portions 15a and 15b, so that plasma can be uniformly formed in the chamber 11 and the cleaning efficiency can be improved.
  • the microwave from the microwave generator 39 is supplied to the chamber through the same path as described above.
  • the microwave output at this time can be about 2000 to 5000 W as an absolute value in the case of a power density of 1.67-4.18 W / cm 2 and a 300 mm wafer, for example.
  • An electromagnetic field is formed in the chamber 11 by the microwaves radiated from the planar antenna plate 31 through the transmission plate 28 into the chamber 11, and Ar gas and NF gas are turned into plasma. In plasma of NF gas,
  • the NF gas flow rate in the deposit removal process of step SI is 200
  • 3 to 1000 mL / min (sccm) is preferable 400 to 600 mL / min (sccm) is more preferable.
  • the Ar gas flow rate is preferably 200 to 1000 mL / min (sccm), more preferably 400 to 800 mL / min (sccm), in total of the gas introduction portions 15a and 15b.
  • the treatment pressure is preferably 40 to 133.3 Pa, more preferably 66.7 to 106.6 Pa.
  • the processing temperature is 300. If it is C or higher and ⁇ , 400 to 600 ° C is preferable.
  • step S1 By stopping, the process of step S1 ends.
  • This step S1 In the process, by using a plasma of a processing gas containing NF gas, the stack in the chamber 11 is used.
  • the deposit (SiN) can be removed efficiently.
  • a fluorine removal step is performed to remove (Step S2). That is, first, Ar gas and H gas are supplied from the inert gas supply sources 17b and 17d and the hydrogen gas supply source 17f of the gas supply system 16 at predetermined flow rates to the chambers through the gas introduction portions 15a and 15b, respectively.
  • the plasma can be made uniform in the chamber 11 by introducing Ar gas from the upper and lower gas introduction portions 15a and 15b.
  • the microwave from the microwave generator 39 is supplied to the chamber through the same path as described above.
  • the microwave output at this time can be about 500 to 3000 W, for example, as an absolute value in the case of a wafer with a density of 0.41 to 2.51 W / cm 2 and a 300 mm wafer.
  • An electromagnetic field is formed in the chamber 11 by the microwaves radiated from the planar antenna plate 31 to the chamber 1 through the transmission plate 28, and Ar gas and H gas are turned into plasma. H ions and radicals generated in the chamber
  • the H gas flow rate in the fluorine removal process of step S2 is 10
  • the Ar gas flow rate is 50 to 2000 mL / min (sccm) force ⁇ total, preferably 600 to 1200 mL / min (sccm) in total of the gas introductions 15a and 15b.
  • H / Ar flow ratio is 0 ⁇ 005
  • the processing pressure (6. 6.7-133.3 Pa force ⁇ preferably, 13.3-40 Pa force is more preferable than S.
  • the processing temperature is 300 ° C or more. For example, 400-600 ° C is preferred.
  • step S2 by switching on / off of the microwave output every predetermined time, Ar gas and H gas plasma is generated in the chamber 11 for example 10
  • the plasma is turned on / off while Ar gas and H gas are flowing, and plasma generation and parsing are performed.
  • the plasma generation and the evacuation may be performed alternately by turning on / off the gas together with the plasma.
  • a hydrogen removal step for removing hydrogen remaining in the chamber 11 is performed (step S3). That is, first, Ar gas is introduced into the chamber 11 from the inert gas supply source 17b or 17d of the gas supply system 16 through the gas introduction portions 15a and 15b, respectively, at a predetermined flow rate. Also in this case, the plasma can be made uniform in the chamber 11 by introducing Ar gas from the upper and lower gas introduction sections 15a and 15b.
  • the microwave from the microwave generator 39 is radiated to the space on the dummy wafer Wd in the chamber 11 through the same path as described above.
  • the microwave output at this time can be about 500 to 3000 W as an absolute value in the case of a wafer density of 0.41 to 2.51 W / cm 2 and a 300 mm wafer, for example.
  • An electromagnetic field is formed in the chamber 1 by the microwave radiated from the planar antenna plate 31 to the chamber 1 through the transmission plate 28, and the Ar gas is turned into plasma.
  • the Ar gas plasma liberates hydrogen adhering to the inner wall surface of the chamber and the inner chamber member by its strong sputtering action.
  • the hydrogen released in the chamber 1 is exhausted through the exhaust pipe 23. In this way, hydrogen remaining in the chamber 11 is removed.
  • the Ar gas plasma is intermittently generated, for example, every 10 to 360 seconds in the chamber 11 by switching on / off of the microwave output every predetermined time. It is preferable to carry out a cycle treatment that is formed automatically.
  • the plasma may be turned ON / OFF while the Ar gas is flowing, and the plasma generation and the purge may be alternately performed.
  • the gas is turned ON / OFF together with the plasma, and the plasma generation and the evacuation are alternately performed. May go to
  • the Ar gas flow rate in the hydrogen removal step of Step S3 is preferably 10 to 2000 mL / min (sccm) in total of the gas introduction portions 15a and 15b.
  • the processing pressure is preferably 6 ⁇ 7-133.3 Pa.
  • the treatment temperature is preferably 300 ° C or higher, for example, 400 to 600 ° C.
  • Step S3 When a predetermined time has elapsed, the supply of microwaves from the microwave generator 39 is stopped, and then the supply of gases from the inert gas supply sources 17b and 17d is stopped.
  • Step S3 by using a plasma of a processing gas containing Ar gas, hydrogen adhering in the chamber 11 can be sputtered and released efficiently, and can be quickly discharged.
  • a silicon nitride film is formed on the wafer W, mixing of hydrogen in the film can be suppressed, and high-throughput processing is possible.
  • the fluorine removal process in step S2 and the hydrogen removal process in step S3 may be performed a predetermined number of times, for example, at least once, preferably 1 to 20 times. preferable. Thereby, fluorine and hydrogen can be reliably removed from the chamber 11.
  • end point detection can be performed by monitoring plasma emission at a predetermined wavelength.
  • the light intensity of SiN, atomic hydrogen, etc. in the plasma in the chamber 11 is measured by the detector 60.
  • the received light emission of the plasma is divided into spectra.
  • the detection unit 60 monitors the emission of SiN in the plasma at a wavelength of 44.7 nm to detect the amount of deposits in the chamber 11.
  • the end point of the deposit removing process can be detected. The end point can be detected in the same way by monitoring the emission of SiF, F, and HF instead of SiN and atomic hydrogen.
  • the remaining amount of fluorine in the chamber 11 can be detected by monitoring the emission of atomic hydrogen in the plasma at 656.3 nm by the detection unit 60. it can. Fluorine remaining in the chamber 11 reacts with hydrogen as described above and is discharged as HF to the outside of the chamber 11, so that the more residual fluorine, the more hydrogen is consumed in the chamber 11. Increasing the emission of atomic hydrogen decreases. Therefore, in the fluorine removal process of step S2, it is possible to indirectly grasp the amount of fluorine in the chamber 11 by monitoring the amount of atomic hydrogen introduced into the chamber. Similarly, even if HF is monitored, the remaining amount of fluorine can be detected.
  • step S3 the remaining amount of atomic hydrogen in the chamber 11 is detected by monitoring the emission of atomic hydrogen in the plasma at 656.3 nm by the detection unit 60. , End point detection can be performed.
  • FIG. 5 is a flowchart showing an example of a procedure in the case where the plasma emission is measured by the detection unit 60 in the cleaning process, and the end point of the deposit removing process, the fluorine removing process, and the hydrogen removing process is determined.
  • the end point determination of each process and the switching to the next process are automatically performed.
  • description is abbreviate
  • Step Sl l when the operator inputs an instruction to start the cleaning process via the user interface 51, a control signal is sent from the process controller 50 to each part of the plasma processing apparatus 100, and the deposit removing process is started.
  • Step Sl l the deposit removal process
  • the light emission (440. 7 nm) of SiN in the plasma is measured by the detector 60, and the measured value is sequentially transmitted to the process controller 50.
  • the process controller 50 reads out a reference value set in advance as a guideline for the end point of the deposit removal process for SiN emission (440. 7 nm) from the storage unit 52 and compares it with the measurement value from the detection unit 60. Then, it is determined whether or not the measured value is below the reference value (step SI 2).
  • step S12 If it is determined in step S12 that the measured value of the SiN emission is below the reference value, a process signal is sent from the process controller 50 to each part of the plasma processing apparatus 100 to complete the deposit removal process. For example, measures such as microwave supply stop and gas supply stop are taken (step S13). On the other hand, if it is determined in step S12 that the measured value of SiN luminescence is not less than the reference value, the deposit removal process is continued because the removal of the deposit is still insufficient. The determination in step S12 is repeated until the measured value of the SiN emission is below the reference value.
  • a control signal is sent from the process controller 50 to each part of the plasma processing apparatus 100, and the fluorine removal process is started (step S14).
  • the detection unit 60 measures the emission of atomic H in the plasma (656.3 nm), and the measured value is sequentially sent to the process controller 50.
  • the process controller 50 reads out a reference value set in advance as a guideline for the end point of the fluorine removal process for atomic H emission (656.3 nm) from the storage unit 52, compares it with the measurement value from the detection unit 60, It is determined whether or not the measured value is greater than or equal to the reference value (step S 15).
  • step S15 If it is determined in step S15 that the measured value of atomic H emission is equal to or greater than the reference value, a control signal is sent from the process controller 50 to each part of the plasma processing apparatus 100, for example, the supply of microwaves is stopped or the gas supply is performed. Measures such as stopping are taken and the fluorine removal process is terminated (step S16). On the other hand, when it is determined in step S15 that the measured value of atomic H emission is not equal to or higher than the reference value, the process of the fluorine removal process is continued. The determination of step S15 is repeated until the measured value of atomic H emission is above the reference value.
  • a control signal is sent from the process controller 50 to each part of the plasma processing apparatus 100, and the hydrogen removal process is started (step S17).
  • the detection unit 60 measures the emission of atomic H in the plasma (656.3 nm), and the measured value is sequentially sent to the process controller 50.
  • the process controller 50 reads the reference value set in advance for the emission of atomic H (656.3 nm) from the storage unit 52, compares it with the measurement value from the detection unit 60, and the measurement value becomes the reference value. It is determined whether the value is equal to or less than the value (step S18).
  • step S18 If it is determined in step S18 that the measured value of atomic H emission is below the reference value, a control signal is sent from the process controller 50 to each part of the plasma processing apparatus 100, for example, the supply of microwaves is stopped, the gas is Measures such as supply stop are taken and the hydrogen removal process is terminated (step S 19). On the other hand, if it is determined in step S 18 that the measured value of atomic H emission is not less than the reference value, the process of the hydrogen removal process is continued. The determination in step S18 is repeated until the measured value of atomic H emission is below the reference value.
  • the light emission of plasma is monitored by the detection unit 60, and the end point of each step of the plasma cleaning process (deposit removal step, fluorine removal step and hydrogen removal step) is detected, so the process time is appropriately managed. It becomes possible to do. Therefore, generation of plasma damage due to excessive plasma treatment, generation of particles due to insufficient plasma treatment, adverse effects on film formation, etc. can be avoided, and an excellent cleaning effect can be obtained with low plasma damage. .
  • FIG. 6 is a diagram for explaining the procedure of the plasma C VD method for performing the plasma cleaning process during the plasma CVD process.
  • the first cleaning processing is performed.
  • This cleaning process can be performed according to the procedure from step S1 to step S3 as in FIG. 4.
  • the fluorine removal process in step S2 and the hydrogen removal process in step S3 can be repeated. it can.
  • a high-stress insulating film is formed, particles are likely to be generated. Therefore, it is preferable to perform cleaning every one or several sheets as described above.
  • the second wafer W is again subjected to plasma CV. D process is performed, and then the cleaning process is performed again.
  • the deposits in the chamber 11 are peeled off and can be reliably removed before particles are generated. Therefore, stable and continuous film formation can be performed while suppressing the generation of the partition as much as possible.
  • plasma cleaning is performed under the following conditions. Processing was carried out. Detailed setting conditions such as gas flow rate and processing pressure in this test are shown in FIG. In this test, NF gas is supplied from the upper gas inlet 15a and H gas is supplied from the lower gas inlet 15b.
  • Microwave power density 1. 67W / cm 2 Microwave power: 2000W
  • steps B to E are deposits by NF plasma.
  • steps G to K are fluorine removal process by H plasma, step M
  • Step A, Step F, Step: L, Step R evacuation was performed (Step A, Step F, Step: L, Step R). Also, in each process, the pressure in the chamber is set to 126.6 Pa, which is higher than the pressure in each cleaning condition, in Step B, Step H, and Step N where plasma ignition (micro mouth wave power on) is performed. This is to make it easier to generate plasma. Then, in the next Step C, Step I, and Step O, the processing pressure is lowered to the cleaning condition to stabilize the gas flow rate, and then the plasma is turned on in the next Step 0, Step J, and Step P to turn on the plasma. Performed plasma cleaning
  • the detection point 60 was used to monitor the emission intensity of SiN in the plasma at a wavelength of 44.7 nm to detect the end point.
  • the end point was detected by monitoring the emission intensity of hydrogen in the plasma at a wavelength of 656.3 nm using the detector 60.
  • the emission intensity of hydrogen in the plasma at a wavelength of 656.3 nm is detected using the detection unit 60.
  • the end point was detected by monitoring.
  • the emission spectra in each step are shown in FIGS. 8A to 8B (the vertical axis indicates the normalized emission intensity).
  • the end point of the deposit removal process can be determined when the emission intensity of SiN in the plasma is equal to or lower than a preset reference value.
  • the deposit (SiN) in the chamber 11 was almost removed when the emission intensity became 1000 or less, for example.
  • the emission intensity of SiN in the plasma may be determined as the end point of the deposit removal process based on stabilization below the reference value (for example, the slope of the tangent of the emission spectrum is below a predetermined value).
  • the judgment of the end point of the deposit removing process can also be made visually.
  • the end point of the fluorine removal process can be determined by the time when the emission intensity of atomic hydrogen in the plasma becomes equal to or higher than a preset reference value (for example, 6000 or higher). Note that the end point of the fluorine removal process may be determined based on the fact that the emission intensity of atomic hydrogen in the plasma is stabilized above the reference value (for example, the slope of the tangent of the emission spectrum is below a predetermined value). ,.
  • the chamber 11 after removing the deposits is treated with Ar / H gas plasma.
  • the force configured to excite the plasma of the cleaning gas in situ in the chamber 1 after performing the plasma CVD process is not limited to this.
  • the chamber of the plasma processing apparatus 100 The cleaning process can also be performed by a remote plasma method that introduces the plasma of the tarry- ing gas excited externally into the chamber 11.
  • the power exemplifying the RLSA type plasma processing apparatus 100 can be applied to other types of plasma processing apparatuses, for example, remote plasma type, ICP plasma type, ECR plasma type, surface
  • the present invention can also be applied to plasma processing apparatuses such as a reflected wave plasma system and a magnetron plasma system.
  • the target of the film forming process in the plasma processing apparatus 100 is not limited to the silicon nitride film (SiN film), but for example, a silicon oxide (SiO 2) film, a polysilicon film, a tungsten (W) film, a tan film, and the like.
  • WSi dusten silicide
  • Ti titanium
  • TiN titanium nitride
  • Ta tantalum nitride
  • TaN tantalum nitride
  • NF gas is used in the deposit removing step.
  • a halogen-containing gas such as C1, HC1, or C1F is used for the channel cleaning.
  • Plasma tung can be performed by performing a hydrogen removal process using a rare gas such as Ar as needed.

Description

明 細 書 技術分野
[0001] 本発明は、被処理基板表面に窒化珪素膜を堆積させるプラズマ CVD (Chemical Vapor Deposition ;化学気相堆積)装置の処理容器内をクリーニングするプラズマ クリーニング方法およびプラズマ CVD方法に関する。
背景技術
[0002] 窒化珪素膜は、各種半導体装置における絶縁膜や保護膜等として使用されている 。このような窒化珪素膜は、例えば、原料ガスとしてシラン(SiH )などのシリコン含有
4
化合物のガスと、窒素やアンモニア等の窒素含有化合物のガスを使用するプラズマ CVD等により形成できることが知られている。
[0003] 上記のようにプラズマ CVDを行なうプラズマ CVD装置では、被処理基板への成膜 を繰り返すことにより、チャンバ一内の被処理基板以外の部位にも窒化珪素が堆積 する。このように形成されるチャンバ一内の堆積物が一定の膜厚を超えると、プラズマ CVD装置における成膜に悪影響を与える。例えば、チャンバ一内に形成された堆積 物は、チャンバ一内でのガスの流れや熱分布に影響して成膜速度や成膜の均一性 を低下させる要因になる。また、堆積物からパーティクルが発生して被処理基板に付 着することも懸念される。このようなこと力、ら、定期的にチャンバ一内のクリーニングを 実施し、チャンバ一内に形成された堆積物を除去する必要がある。このため、例えば NFなどのフッ素化合物を含む反応性ガスのプラズマを用いてチャンバ一内部をェ
3
ツチングするプラズマクリーニング方法の発明が提案されている(例えば、特開平 7— 201738号公報)。
[0004] 特開平 7— 201738号公報にみられるように、プラズマクリーニングは、通常堆積物 に対してエッチング作用を持つ NFなどのガス種を使用し、チャンバ
3 一内の堆積物を クリーニングガスのプラズマによってドライエッチングすることによって除去する。しか し、 NFガスのようなフッ素を含むガスを使用してクリーニングを行なうと、チャンバ
3 一 内に残留するフッ素がその後の成膜処理に悪影響を与えるため、フッ素を除去する 必要が生じる。このため特許文献 1では、 NFを含むガスによる処理の後で、 NHを
3 3 含む還元性ガスのプラズマによってチャンバ一内のフッ素を除去する工程を設けて いる。
[0005] 上記特開平 7— 201738号公報に開示された技術では、 NFクリーニングの後でチ
3
ヤンバー内に残留したフッ素を除去する工程を設けている力 S、実用上十分なタリー二 ング効果を得つつ速やかに残留フッ素を除去できる高スループットでのクリーニング 処理を行なうための条件につ!/、ては、十分な検討がなされて!/、るとは言!/、難レ、。
[0006] また、上記技術のように、複数の工程を含むクリーニング処理の場合、各工程の終 点を把握することが困難であり、終点の判断を誤って過剰なクリーニングを行なうと、 クリーニングガスのプラズマによって、チャンバ一内部材、例えば被処理基板を載置 する載置台(サセプタ)や被処理基板をガイドするためのカバーリング等がエッチング されてプラズマダメージを受け、部品寿命が短命化してしまう。特に、クリーニング効 果を高めようとして長時間のクリーニングを行なうと、プラズマダメージが強くなるととも にスループットを低下させてしまう。逆に、プラズマダメージを抑制したり、高いスルー プットを得ようとすると、クリーニングが不十分となってパーティクルが発生したり、成膜 への悪影響が生じたりする。
発明の開示
[0007] 本発明の目的は、高スループットで確実に残留フッ素を低減することができるブラ ズマタリ一ユング方法を提供することにある。
本発明の他の目的は、クリーニングの終点の把握を容易に行なうことにより、チャン バー内部品に与えるプラズマダメージを極力抑制することが可能なプラズマタリー二 ング方法を提供することにある。
本発明のさらに他の目的は、このようなプラズマクリーニング方法を含むプラズマ C
VD方法を提供することにある。
[0008] 本発明の第 1の観点によれば、プラズマ処理装置における、その中に堆積物が堆 積している処理容器内をクリーニングガスのプラズマを用いてクリーニングするプラズ マクリーニング方法であって、前記処理容器内に NFガスを含むクリーニングガスを
3
導入してプラズマを形成し、前記処理容器内の堆積物を除去することと、前記堆積物 を除去した後、前記処理容器内に水素ガスを含むガスを導入してプラズマを形成し、 前記処理容器内に残留するフッ素を除去することとを含む、プラズマクリーニング方 法が提供される。
[0009] 上記第 1の観点において、フッ素を除去した後、前記処理容器内に希ガスを含むガ スを導入してプラズマを形成し、前記処理容器内に残留する水素を除去することをさ らに含むこと力 Sできる。
また、前記フッ素を除去することと、前記水素を除去することとを、繰り返し実施して あよい。
また、前記堆積物を除去する際、フッ素を除去する際および水素を除去する際に、 それぞれプラズマの発光をモニターすることによって終点検出することができる。 また、前記プラズマ処理装置として、複数のスロットを有する平面アンテナにて前記 処理容器内にマイクロ波を導入してプラズマを形成させ、被処理基板表面に窒化珪 素膜を堆積させるプラズマ CVD装置を好適に用いることができる。
[0010] 本発明の第 2の観点によれば、複数のスロットを有する平面アンテナを備えたマイク 口波処理装置の、その中に堆積物が堆積している処理容器内をクリーニングガスの プラズマを用いてクリーニングするプラズマクリーニング方法であって、前記処理容器 内に NFガスを含むクリーニングガスを導入することと、前記平面アンテナを介してマ
3
イク口波を前記処理容器内に供給することにより、前記処理容器内に前記タリーニン グガスのプラズマを形成して Fの活性種を生成することと、前記処理容器内の前記堆 積物と前記 Fの活性種とを反応させて前記堆積物を揮発させることと、前記揮発した 堆積物成分を前記処理容器から排出させることとを含む、プラズマクリーニング方法 が提供される。
[0011] 上記第 2の観点において、前記揮発した堆積物成分の排出の後、前記処理容器内 に水素ガスを含むガスを導入してプラズマを形成し、前記処理容器内に残留するフ ッ素を除去することをさらに含むことが好ましい。
[0012] 本発明の第 3の観点によれば、プラズマ CVD装置の処理容器内で被処理基板表 面に窒化珪素膜を堆積させるプラズマ CVD方法であって、前記処理容器内に導入 した窒素含有ガスとシリコン含有ガスを含むガスによりプラズマを形成し、このプラズ マにより被処理基板の表面に窒化珪素膜を堆積させることと、前記処理容器内に NF ガスを含むクリーニングガスを導入してプラズマを形成し、前記処理容器内の堆積
3
物を除去することと、前記堆積物を除去した後、前記処理容器内に水素ガスを含む ガスを導入してプラズマを形成し、前記処理容器内に残留するフッ素を除去すること と、フッ素を除去した後、さらに前記処理容器内に希ガスを含むガスを導入してブラ ズマを形成し、前記処理容器内に残留する水素を除去することとを含むプラズマ CV D方法が提供される。
[0013] 上記第 3の観点において、前記フッ素を除去することと、前記水素を除去することと を、繰り返し実施すること力 Sでさる。
また、前記水素を除去した後、再び、前記プラズマ CVD工程を行なうことができる。
[0014] 本発明の第 4の観点によれば、コンピュータ上で動作し、プラズマ処理装置の処理 容器内をクリーニングガスのプラズマを用いてクリーニングする際に前記プラズマ処 理装置を制御するプログラムが記憶された記憶媒体であって、前記プログラムは、実 行時に、前記処理容器内に NFガスを含むクリーニングガスを導入してプラズマを形
3
成し、前記処理容器内の堆積物を除去することと、前記堆積物を除去した後、前記 処理容器内に水素ガスを含むガスを導入してプラズマを形成し、前記処理容器内に 残留するフッ素を除去することとを含むプラズマクリーニング方法が行われるようにコ ンピュータに前記プラズマ処理装置を制御させる記憶媒体を提供する。
[0015] 本発明の第 5の観点によれば、プラズマを用いて被処理基板を処理するための真 空排気可能な処理容器と、前記処理容器内にマイクロ波を導入する複数のスロットを 有する平面アンテナと、前記処理容器内にクリーニングガスを供給するガス供給機構 と、前記処理容器内に NFガスを含むクリーニングガスを導入してプラズマを形成し、
3
前記処理容器内の堆積物を除去することと、前記堆積物を除去した後、前記処理容 器内に水素ガスを含むガスを導入してプラズマを形成し、前記処理容器内に残留す るフッ素を除去することとを含むプラズマクリーニング方法が行われるように各構成部 を制御する制御部と、を備えた、プラズマ処理装置が提供される。
[0016] 本発明によれば、処理容器内に NFガスを含むクリーニングガスを導入してプラズ
3
マを形成し、堆積物を除去した後、処理容器内に水素ガスを含むガスを導入してプ ラズマを形成し、残留するフッ素を除去するので、プラズマ CVDに用いる処理容器 内の残留フッ素を高スループットで確実に低減することができ、基板上に成膜した膜 中へのフッ素混入量を低減することができる。
また、堆積物を除去する際、プラズマの発光をモニターしてプラズマクリーニング処 理のフッ素を除去する際および水素を除去する際に終点検出を行なう場合には、こ れらの時間管理を適正化できるので、過剰なプラズマ処理によるチャンバ一内部材 へのプラズマダメージを極力低減することができ、より高スループットのクリーニングを 実現すること力 Sでさる。
[0017] さらに、上記プラズマクリーニング方法を、各種半導体装置の製造過程で窒化珪素 膜を成膜するプラズマ CVDプロセスの一部として組込むことにより、チャンバ一内に 過剰な堆積物が形成された状態をなくすことができるため、プラズマ CVDプロセスに おいて成膜処理の均一性が向上し、かつパーティクルを低減して安定した被処理基 板毎の連続処理が可能となる。
図面の簡単な説明
[0018] [図 1]本発明方法の実施に適したプラズマ処理装置の一例を示す概略断面図。
[図 2]平面アンテナ板の構造を示す図面。
[図 3]プラズマ CVD処理と、その後に引き続!/、て行なわれるプラズマタリ一二ング処 理のタイミングチャート。
[図 4]プラズマクリーニング処理の工程手順の一例を示すフロー図。
[図 5]終点検出を行なうプラズマクリーニング処理の工程手順の一例を示すフロー図 である。
[図 6]本発明のプラズマタリ一ユング方法を組込んだプラズマ CVD方法の工程手順 の一例を示すフロー図。
[図 7]試験例における成膜装置のプラズマクリーニング処理のタイミングチャート。
[図 8A]プラズマクリーニング処理の堆積物除去工程におけるプラズマ中の SiNの発
[図 8B]プラズマクリーニング処理のフッ素除去工程におけるプラズマ中の原子状水 素の発光スペクトルを示す図。 [図 8C]プラズマクリーニング処理の水素除去工程におけるプラズマ中の原子状水素 の発光スペクトルを示す図。
発明を実施するための最良の形態
[0019] 以下、適宜添付図面を参照して本発明の実施の形態について具体的に説明する 。図 1は、本発明のプラズマクリーニング方法およびプラズマ CVD方法を実施可能な プラズマ処理装置の一例を模式的に示す断面図である。このプラズマ処理装置 100 は、複数のスロットを有する平面アンテナ、特に RLSA(Radial Line Slot Anten na;ラジアルラインスロットアンテナ)にて処理容器内にマイクロ波を導入してプラズマ を発生させることにより、高密度かつ低電子温度のマイクロ波励起プラズマを発生さ せ得る RLSAマイクロ波プラズマ処理装置として構成されており、 1 X 101()〜5 X 101 2/cm3のプラズマ密度で、かつ 0. 7〜2eVの低電子温度のプラズマにより、膜への ダメージが極めて少ない処理が可能である。したがって、各種半導体装置の製造過 程においてプラズマ CVDによる窒化珪素膜の成膜処理などの目的で好適に利用可 能なものである。
[0020] 上記プラズマ処理装置 100は、気密に構成され、接地された略円筒状のチャンバ 一 1を有している。なお、チャンバ一 1は角筒形状でもよい。チャンバ一 1の底壁 laの 略中央部には円形の開口部 10が形成されており、底壁 laにはこの開口部 10と連通 し、下方に向けて突出する排気室 11が設けられている。この排気室 11は、排気管 2 3を介して排気装置 24に接続されて!/、る。
[0021] チャンバ一 1内には被処理基板であるシリコンウエノ、(以下、単に「ウェハ」と記す) Wを水平に支持するため、熱伝導性の高い A1N等のセラミックスからなる載置台 2が 設けられている。この載置台 2は、排気室 11の底部中央から上方に延びる円筒状の A1N等のセラミックスからなる支持部材 3により支持されている。載置台 2には、その 外縁部をカバーし、ウェハ Wをガイドするためのカバーリング 4が設けられている。こ のカバーリング 4は、例えば石英、 A1N、 Al O、 SiN等の材質で構成された部材で
2 3
ある。
[0022] 載置台 2には抵抗加熱型のヒータ 5が埋め込まれており、このヒータ 5は、ヒータ電 源 5aから給電されることにより載置台 2を加熱して、その熱で被処理基板であるゥェ ハ Wを均一に加熱する。また、載置台 2には、熱電対 6が配備されており、ウェハ W の加熱温度を、例えば室温から 900°Cまでの範囲で温度制御可能となっている。載 置台 2には、ウェハ Wを支持して昇降させるためのウェハ支持ピン(図示せず)が載 置台 2の表面に対して突没可能に設けられている。
[0023] チャンバ一 1の内周には、石英からなる円筒状のライナー 7が設けられ、チャンバ一 構成材料による金属汚染を防止している。また、載置台 2の外周側には、チャンバ一 1内を均一排気するための多数の孔 8aを備えたバッフルプレート 8が環状に設けられ 、このバッフルプレート 8は、複数の支柱 9により支持されている。
[0024] 後述するアッパープレート 27およびチャンバ一 1の側壁には、環状をなすガス導入 部 15a, 15bが上下 2段に設けられており、各ガス導入部 15aおよび 15bには成膜原 料ガス、プラズマ励起用ガスおよびクリーニングガスを供給するガス供給系 16が接続 されている。なお、ガス導入部 15a, 15bはノズル状またはシャワー状に配置してもよ い。
[0025] ガス供給系 16は、例えば窒素含有ガス供給源 17a、不活性ガス供給源 17b、 Si含 有ガス供給源 17c、不活性ガス供給源 17d、 NFガス供給源 17eおよび水素ガス供
3
給源 17fを有している。窒素含有ガス供給源 17a及び不活性ガス供給源 17bは、上 段のガス導入部 15aに接続され、 Si含有ガス供給源 17c、不活性ガス供給源 17d、 NFガス供給源 17eおよび水素ガス供給源 17fは、下段のガス導入部 15bに接続さ
3
れている。なお、 NFガスは、上側のガス導入部 15aに接続して導入してもよい。
3
[0026] 成膜原料ガスである Si含有ガスとしては、例えばシラン(SiH )、ジシラン(Si H )
4 2 6、
TSA (トリシリルァミン)などを用いることができる力 S、特にジシラン(Si H )が好ましい
2 6
。他の成膜原料ガスである窒素含有ガスとしては、例えば N、アンモニア、 MMH (モ
2
ノメチルヒドラジン)等のヒドラジン誘導体などを用いることができる。また、また、不活 性ガスとしては、例えば Nガスや希ガスなどを用いることができる。プラズマ励起用ガ
2
スである希ガスとしては、例えば Arガス、 Krガス、 Xeガス、 Heガスなどを用いることが できる力 経済性およびプラズマ安定性の観点から Arが好まし!/、。
NFガスおよび水素ガスは、前記希ガスとともにプラズマ処理装置 100におけるチ
3
ヤンバー 1内をクリーニングする際に使用されるクリーニングガスである。 [0027] 窒素含有ガスおよび不活性ガスは、窒素含有ガス供給源 17aおよび不活性ガス供 給源 17bから、ガスライン 20aを介してガス導入部 15aに至り、ガス導入部 15aからチ ヤンバー 1内に導入される。一方、 Si含有ガス、不活性ガス、 NFガスおよび水素ガ
3
スは、それぞれガスライン 20bを介してガス導入部 15bに至り、ガス導入部 15bからチ ヤンバー 1内に導入される。各ガス供給源に接続する各々のガスライン 20a, 20bに は、マスフローコントローラ 21およびその前後に開閉バルブ 22が設けられており、供 給されるガスの切替えや流量等の制御が可能なように構成されてレ、る。
[0028] 上記排気室 11の側面には排気管 23が接続されており、この排気管 23には高速真 空ポンプを含む前述の排気装置 24が接続されてレ、る。そしてこの排気装置 24を作 動させることにより、チャンバ一 1内のガスがバッフルプレート 8を介して排気室 11の 空間 11a内へ均一に排出され、排気管 23を介して排気される。これによりチャンバ一 1内は所定の真空度、例えば 0. 133Paまで高速に減圧することが可能となっている
[0029] チャンバ一 1の側壁には、プラズマ処理装置 100に隣接する搬送室(図示せず)と の間でウェハ Wの搬入出を行うための搬入出口 25と、この搬入出口 25を開閉するゲ ートバルブ 26とが設けられて!/、る。
[0030] チャンバ一 1の上部は開口部となっており、この開口部には環状のアッパープレー ト 27が接合される。アッパープレート 27の内周下部は、内側のチャンバ一内空間へ 向けて突出し、環状の支持部 27aを形成している。この支持部 27a上に、誘電体、例 えば石英や Al O、A1N等のセラミックスからなり、マイクロ波を透過するマイクロ波透
2 3
過板 28がシール部材 29を介して気密に設けられている。したがって、チャンバ一 1 内は気密に保持される。
[0031] マイクロ波透過板 28の上方には、載置台 2と対向するように、円板状の平面アンテ ナ板 31が設けられている。なお、平面アンテナ板の形状は、円板状に限らず、例え ば四角板状でもよい。この平面アンテナ板 31はチャンバ一 1の側壁上端に係止され ている。平面アンテナ板 31は、例えば表面が金または銀メツキされた銅板またはアル ミニゥム板からなり、マイクロ波を放射する多数のスロット状のマイクロ波放射孔 32が 所定のパターンで貫通して形成された構成となっている。 [0032] マイクロ波放射孔 32は、例えば図 2に示すように長い形状をなすものが対をなし、 典型的には対をなすマイクロ波放射孔 32同士が「T」字状に配置され、これらの対が 複数、同心円状に配置されている。マイクロ波放射孔 32の長さや配列間隔は、マイク 口波の波長( λ g)に応じて決定され、例えばマイクロ波放射孔 32の間隔は、 λ g/4 、 またはえ gとなるように配置される。なお、図 2において、同心円状に形成さ れた隣接するマイクロ波放射孔 32同士の間隔を で示している。また、マイクロ波 放射孔 32は、円形状、円弧状等の他の形状であってもよい。さらに、マイクロ波放射 孔 32の配置形態は特に限定されず、同心円状のほか、例えば、螺旋状、放射状に 酉己置することあでさる。
[0033] この平面アンテナ板 31の上面には、真空よりも大きい誘電率を有する遅波材 33が 設けられている。この遅波材 33は、真空中ではマイクロ波の波長が長くなることから、 マイクロ波の波長を短くしてプラズマを調整する機能を有している。なお、平面アンテ ナ板 31と透過板 28との間、また、遅波材 33と平面アンテナ板 31との間は、それぞれ 接触させても離間させてもょレ、が、接触させることが好ましレ、。
[0034] チャンバ一 1の上面には、これら平面アンテナ板 31および遅波材 33を覆うように、 例えばアルミニウムやステンレス鋼等の金属材料からなり、導波管機能を有するシー ルド蓋体 34が設けられている。チャンバ一 1の上面とシールド蓋体 34とはシール部 材 35によりシールされている。シールド蓋体 34には、冷却水流路 34aが形成されて おり、そこに冷却水を通流させることにより、シールド蓋体 34、遅波材 33、平面アンテ ナ板 31、透過板 28を冷却するようになっている。なお、シールド蓋体 34は接地され ている。
[0035] シールド蓋体 34の上壁の中央には、開口部 36が形成されており、この開口部 36 には導波管 37が接続されている。この導波管 37の端部には、マッチング回路 38を 介してマイクロ波を発生するマイクロ波発生装置 39が接続されている。これにより、マ イク口波発生装置 39で発生した、例えば周波数 2. 45GHzのマイクロ波が導波管 37 を介して上記平面アンテナ板 31へ伝搬されるようになっている。なお、マイクロ波の 周波数としては、 8. 35GHz, 1. 98GHz等を用いることもできる。
[0036] 導波管 37は、上記シールド蓋体 34の開口部 36から上方へ延出する断面円形状 の同軸導波管 37aと、この同軸導波管 37aの上端部にモード変換器 40を介して接続 された水平方向に延びる矩形導波管 37bとを有している。矩形導波管 37bと同軸導 波管 37aとの間のモード変換器 40は、矩形導波管 37b内を TEモードで伝播するマ イク口波を TEMモードに変換する機能を有している。同軸導波管 37aの中心には内 導体 41が延在しており、内導体 41は、その下端部において平面アンテナ板 31の中 心に接続固定されている。これにより、マイクロ波は、同軸導波管 37aの内導体 41を 介して平面アンテナ板 31へ放射状に効率よく均一に伝播される。
[0037] また、プラズマ処理装置 100のチャンバ一 1の側部には、チャンバ一 1内のプラズマ の発光を検知する検知部 60が配備されている。この検知部 60は、図示しない受光 部およびモノクロメータなどの分光測定部を有しており、チャンバ一 1の側壁に設けら れた窓 61を介してチャンバ一 1内に生成したプラズマの所定波長における発光をモ 二ターできるように構成されている。チャンバ一 1内には、石英からなる円筒状のライ ナー 7が設けられている力 窓 61とライナー 7を通してプラズマ中のラジカルの発光 強度を測定できる。なお、検知部 60の設置位置は特に限定されず、安定して測定可 能であればどの位置でもよ!/、。
[0038] プラズマ処理装置 100の各構成部は、マイクロプロセッサ(コンピュータ)を備えたプ ロセスコントローラ 50に接続されて制御される構成となっている。プロセスコントローラ 50には、オペレータがプラズマ処理装置 100を管理するためにコマンドの入力操作 等を行うキーボードや、プラズマ処理装置 100の稼働状況を可視化して表示するデ イスプレイ等からなるユーザーインターフェース 51が接続されている。
[0039] また、プロセスコントローラ 50には、プラズマ処理装置 100で実行される各種処理を プロセスコントローラ 50の制御にて実現するための制御プログラム(ソフトウェア)や 処理条件データ等が記録されたレシピが格納された記憶部 52が接続されている。
[0040] そして、必要に応じて、ユーザーインターフェース 51からの指示等にて任意のレシ ピを記憶部 52から呼び出してプロセスコントローラ 50に実行させることで、プロセスコ ントローラ 50の制御下で、プラズマ処理装置 100での所望の処理が行われる。また、 前記制御プログラムや処理条件データ等のレシピは、コンピュータ読み取り可能な記 憶媒体、例えば CD— ROM、ハードディスク、フレキシブルディスク、フラッシュメモリ などに格納された状態のものを利用したり、あるいは、他の装置から、例えば専用回 線を介して随時伝送させてオンラインで利用したりすることも可能である。
[0041] また、プロセスコントローラ 50は、図示しない接続手段によって検知部 60と接続さ れているので、検知部 60で検出されたプラズマ中の所定波長の発光を解析し、タリ 一ユング処理における各工程の終点判断を行なう。そして、プロセスコントローラ 50 の指示により、例えばクリーニング処理における各工程を自動的に切替えたり、各ェ 程が終了した旨をユーザーインターフェース 51のディスプレイに表示したりする。
[0042] このように構成されたプラズマ処理装置 100は、 800°C以下の低温で下地膜等へ のダメージフリーなプラズマ処理を進めることができるとともに、プラズマ均一性に優 れており、プロセスの均一性を安定して実現することができる。
[0043] 次に、プラズマ処理装置 100において実施されるプラズマ CVD処理およびプラズ マクリーニング処理について説明を行なう。図 3に、プラズマ CVD処理の後で引き続 きプラズマクリーニング処理を実施する場合のタイミングチャートを示す。この図 3では 、 1つのロットの最後のウェハ(25枚目)に対するプラズマ CVD処理と、その後引き続 き行なわれるプラズマクリーニング処理におけるガスの切替えとマイクロ波出力の入 切(オン/オフ)のタイミングを示して!/、る。
[0044] プラズマ CVD処理では、以下のような手順でプラズマ CVD法によりウェハ W表面 に窒化珪素膜を堆積させる処理を行うことができる。
まず、ゲートバルブ 26を開にして搬入出口 25からウェハ Wをチャンバ一 1内に搬 入し、載置台 2上に載置する。そして、ガス供給系 16の窒素含有ガス供給源 17aお よび Si含有ガス供給源 17cから、窒素含有ガスおよびシリコン含有ガスを所定の流量 でそれぞれガス導入部 15a, 15bを介してチャンバ一 1内に導入する。
[0045] 次に、マイクロ波発生装置 39からのマイクロ波を、マッチング回路 38を経て導波管
37に導き、矩形導波管 37b、モード変換器 40、および同軸導波管 37aを順次通過さ せて内導体 41を介して平面アンテナ板 31に供給し、平面アンテナ板 31のスロットか ら透過板 28を介してチャンバ一 1内におけるウェハ W上の空間に放射させる。マイク 口波は、矩形導波管 37b内では TEモードで伝搬し、この TEモードのマイクロ波はモ ード変換器 40で TEMモードに変換されて、同軸導波管 37a内を平面アンテナ板 31 に向けて伝搬されていく。この際のマイクロ波出力は、例えば 500〜3000W程度と すること力 Sでさる。
[0046] 平面アンテナ板 31から透過板 28を経てチャンバ一 1に放射されたマイクロ波により チャンバ一 1内で電磁界が形成され、窒素含有ガス、シリコン含有ガスがそれぞれプ ラズマ化する。このマイクロ波励起プラズマは、マイクロ波が平面アンテナ板 31の多 数のスロット、すなわちマイクロ波放射孔 32から放射されることにより、略 1 X 1010~5 X 1012/cm3の高密度で、かつウェハ W近傍では、略 1. 2eV以下の低電子温度プ ラズマとなる。このようにして形成されるマイクロ波励起プラズマは、下地膜へのイオン 等によるプラズマダメージが少ないものである。そして、プラズマ中で原料ガスの解離 が進み、 SiH、 NH、 Nなどの活性種の反応によって、窒化珪素 SixNy (ここで、 x, y は必ずしも化学量論的に決定されず、条件により異なる値をとる)の薄膜 (堆積物)が ウェハ W表面およびチャンバ一内壁およびチャンバ一内部材の表面に堆積される。
[0047] プラズマ CVD成膜において、窒素含有ガスとして NHガス、シリコン含有ガスとして
3
例えば Si Hガスを使用する場合、 NHガスの流量は 100
2 6 3 〜3000mL/min (sccm
)が好ましぐ 400〜; 1000mL/min (sccm)がより好ましい。また、 Si Hガスの流量
2 6 は、;!〜 30mL/min (sccm)が好ましく、 5〜20mL/min (sccm)がより好ましい。 また、 Si Hガスと NHガスを用いる場合において、処理圧力は 13· 3〜400Pa力 S好
2 6 3
ましぐ 40- 133. 3Paがより好ましい。また、プラズマ CVDの処理温度は、載置台 2 の加熱温度として、 300°C以上が好ましぐ 400〜500°Cがより好ましい。
[0048] また、例えば窒素含有ガスとして Nガス、シリコン含有ガスとして Si Hガスを使用
2 2 6
する場合、 Nガス流量は、 100〜3000mL/min (sccm)が好ましぐ 800—2000
2
mL/min (sccm)がより好ましい。また、 Si Hガス流量は;!〜 30mL/min (sccm)
2 6
が好ましぐ;!〜 10mL/min (sccm)がより好ましい。また、 Si Hガスと Nガスを用
2 6 2 レヽる場合にぉレヽて、処理圧力 (ま 1. 3〜667Paカ好ましく、 2. 6- 133. 3Paカより好 ましい。また、プラズマ CVDの処理温度は、載置台 2の加熱温度として、 300°C以上 カ好ましく、 400〜600。Cカより好ましレヽ。
[0049] 次に、プラズマ処理装置 100のチャンバ一 1内をプラズマクリーニングする。プラズ マクリーユング処理は、図 4に示すステップ S 1〜S3に従って実施することができる。 まず、ステップ SIでチャンバ一 1内の上述の堆積物を除去した後、ステップ S2および ステップ S3でチャンバ一 1内のコンディショニングを実施する。以下、各ステップの内 容を詳述する。
[0050] まず、ゲートバルブ 26を開にして搬入出口 25力、らダミーウェハ Wdをチャンバ一 1 内に搬入し、載置台 2上に載置する。なお、ダミーウェハ Wdは使用しなくてもよい。 そして、ガス供給系 16の不活性ガス供給源 17b, 17dおよび NFガス供給源 17eか
3
ら、 Arガスおよび NFガスを所定の流量でそれぞれガス導入部 15a, 15bを介してチ
3
ヤンバー 1内に導入する。本実施形態のように Arガスをガス導入部 15a, 15bを介し て上下 2段に分けて導入することにより、チャンバ一 1内でプラズマを均一に形成でき 、クリーニング効率を高めることができる。
[0051] 次に、マイクロ波発生装置 39からのマイクロ波を、前記と同様の経路でチャンバ一
1内におけるダミーウェハ Wdの上方空間に放射させる。この際のマイクロ波出力は、 パワー密度で例えば 1. 67—4. 18W/cm2、 300mmウェハの場合の絶対値として 例えば 2000〜5000W程度とすることができる。平面アンテナ板 31から透過板 28を 経てチャンバ一 1内に放射されたマイクロ波によりチャンバ一 1内で電磁界が形成さ れ、 Arガス、 NFガスがそれぞれプラズマ化する。 NFガスのプラズマ中では、エツ
3 3
チング作用を持つ活性種である Fラジカル (原子状フッ素)が生成するため、この Fラ ジカルによってチャンバ一 1内に形成された堆積物(Si N )をエッチングして除去す ることができる(ステップ SI)。
[0052] ステップ SIの堆積物除去工程における NFガス流量は 200
3 〜1000mL/min (sc cm)が好ましぐ 400〜600mL/min (sccm)がより好ましい。また、 Arガス流量は ガス導入部 15aと 15bの合計で 200〜; 1000mL/min (sccm)が好ましぐ 400—8 00mL/min (sccm)がより好ましい。また、処理圧力は 40〜; 133· 3Paが好ましく、 66. 7-106. 6Paカより好ましい。また、処理温度としては 300。C以上、 ί列えば、 400 〜600°Cが好ましい。
[0053] 所定時間が経過したら、マイクロ波発生装置 39からのマイクロ波の供給を停止し、 次いで不活性ガス供給源 17b, 17dおよび NFガス供給源 17eからのガスの供給を
3
停止することにより、ステップ S1の処理が終了する。このステップ S1の堆積物除去ェ 程では、 NFガスを含む処理ガスのプラズマを用いることにより、チャンバ一 1内の堆
3
積物(SiN)を効率良く除去することができる。
[0054] 次に、 NFガスを用いる堆積物除去工程によってチャンバ一 1内に残留したフッ素
3
を除去するためのフッ素除去工程を実施する (ステップ S 2)。すなわち、まずガス供 給系 16の不活性ガス供給源 17b, 17dおよび水素ガス供給源 17fから、 Arガスおよ び Hガスを所定の流量でそれぞれガス導入部 15a, 15bを介してチャンバ
2 一 1内に 導入する。この場合も、 Arガスを上下 2段のガス導入部 15a, 15bのそれぞれから導 入することにより、チャンバ一 1内でプラズマを均一化することができる。
[0055] 次に、マイクロ波発生装置 39からのマイクロ波を、前記と同様の経路でチャンバ一
1内におけるダミーウェハ W上の空間に放射させる。この際のマイクロ波出力は、パヮ 一密度で例えば 0. 41— 2. 51W/cm2、 300mmウェハの場合の絶対値として例え ば 500〜3000W程度とすることができる。平面アンテナ板 31から透過板 28を経てチ ヤンバー 1に放射されたマイクロ波によりチャンバ一 1内で電磁界が形成され、 Arガス 、 Hガスがそれぞれプラズマ化する。生成された Hのイオン、ラジカルは、チャンバ
2 一
1内に残留するフッ素と反応して HFを形成し、排気管 23を介して排気される。このよ うにして、チャンバ一 1内に残留するフッ素が除去される。この場合に、チャンバ一内 部へのダメージを小さくする観点から、堆積物除去工程よりも低出力でプラズマを形 成することが好ましい。
[0056] ステップ S2のフッ素除去工程における Hガス流量は 10
2 〜1000mL/min (sccm) が好ましぐ 30〜200mL/min (sccm)がより好ましい。また、 Arガス流量はガス導 入き 15aと 15bの合計で 50〜2000mL/min (sccm)力《好ましく、 600〜; 1200mL /min (sccm)がより好ましい。 H /Ar流量比は 0· 005
2 〜2力 S好ましく、より好ましく
(ま 0. 0025—0. 33である。また、処理圧力 (ま 6. 7—133. 3Pa力《好ましく、 13. 3〜 40Pa力 Sより好ましい。また、処理温度としては、 300°C以上例えば 400〜600°Cが好 ましい。
[0057] フッ素除去処理を開始してから所定時間経過したら、マイクロ波発生装置 39からの マイクロ波の供給を停止し、次いで不活性ガス供給源 17b, 17dおよび水素ガス供給 源 17fからのガスの供給を停止する。このステップ S2のフッ素除去工程では、水素ガ スを含む処理ガスのプラズマを用いることにより、チャンバ一 1内に残留するフッ素を HFの形で効率良く速やかに排出することができるため、その後に、ウェハ Wに窒化 珪素膜を形成した際に、膜中へのフッ素の混入を抑制することができ、かつ高スルー プットでの処理が可能である。
[0058] ステップ S2のフッ素除去工程では、マイクロ波の出力のオン/オフを所定時間毎 に切替えることにより、チャンバ一 1内で Arガスおよび Hガスのプラズマを例えば 10
2
〜360秒毎に間欠的に形成するサイクル処理を実施することが好ましい。この場合に 、 Arガスおよび Hガスを流したままプラズマを ON/OFFして、プラズマ生成とパー
2
ジとを交互に行ってもよいし、プラズマとともにガスも ON/OFFしてプラズマ生成と 真空引きとを交互に行ってもよい。
[0059] 次に、チャンバ一 1内に残留した水素を除去するための水素除去工程を実施する( ステップ S3)。すなわち、まずガス供給系 16の不活性ガス供給源 17bまたは 17dから 、 Arガスを所定の流量でそれぞれガス導入部 15a, 15bを介してチャンバ一 1内に導 入する。この場合も、 Arガスを上下 2段のガス導入部 15a, 15bのそれぞれから導入 することにより、チャンバ一 1内でプラズマを均一化することができる。
[0060] 次に、マイクロ波発生装置 39からのマイクロ波を、前記と同様の経路でチャンバ一 1内におけるダミーウェハ Wd上の空間に放射させる。この際のマイクロ波出力は、パ ヮー密度で例えば 0. 41— 2. 51W/cm2、 300mmウェハの場合の絶対値として例 えば 500〜3000W程度とすることができる。平面アンテナ板 31から透過板 28を経て チャンバ一 1に放射されたマイクロ波により、チャンバ一 1内で電磁界が形成され、 Ar ガスがプラズマ化する。 Arガスのプラズマは、その強いスパッタ作用によって、チャン バー内壁面やチャンバ一内部材に付着していた水素を遊離させる。そして、チャン バー 1内で遊離した水素は排気管 23を介して排気される。このようにして、チャンバ 一 1内に残留する水素が除去される。この場合に、チャンバ一内部へのダメージを小 さくする観点から、堆積物除去工程よりも低出力でプラズマを形成することが好ましレ、
[0061] ステップ S3の水素除去工程では、マイクロ波の出力のオン/オフを所定時間毎に 切替えることにより、チャンバ一 1内で Arガスプラズマを例えば 10〜360秒毎に間欠 的に形成するサイクル処理を実施することが好ましい。この場合に、 Arガスを流した ままプラズマを ON/OFFして、プラズマ生成とパージとを交互に行ってもよいし、プ ラズマとともにガスも ON/OFFしてプラズマ生成と真空引きとを交互に行ってもよい
[0062] ステップ S3の水素除去工程における Arガス流量は、ガス導入部 15aと 15bの合計 で 10〜2000mL/min (sccm)が好ましい。また、処理圧力は 6· 7—133. 3Paが 好ましい。また、処理温度としては、 300°C以上例えば 400〜600°Cが好ましい。
[0063] 所定時間が経過したら、マイクロ波発生装置 39からのマイクロ波の供給を停止し、 次いで不活性ガス供給源 17b, 17dからのガスの供給を停止する。このステップ S3の 水素除去工程では、 Arガスを含む処理ガスのプラズマを用いることにより、チャンバ 一 1内に付着する水素をスパッタして効率良く遊離させ、速やかに排出することがで きるので、その後にウェハ Wに窒化珪素膜を形成した際にその膜中の水素の混入を 抑制することができ、かつ高スループットでの処理が可能である。
[0064] 以上のステップ S1〜ステップ S3の工程手順に従い、 NFガス、水素ガス、 Arガス
3
をそれぞれ含む処理ガスのプラズマにより順次チャンバ一 1内を処理する各工程の 組合せによって、プラズマ処理装置 100のチャンバ一 1内の残留フッ素および水素を 低減し、かつ高いスループットで確実にクリーニングすることができる。
[0065] また、本実施形態のプラズマクリーニング処理では、ステップ S2のフッ素除去工程 と、ステップ S3の水素除去工程を所定回数例えば少なくとも 1回以上、好ましくは 1〜 20回を繰り返して実施することが好ましい。これによつて、チャンバ一 1内からフッ素 および水素を確実に除去することができる。
[0066] さらに、クリーニング処理におけるステップ S 1〜ステップ S3の各工程では、所定の 波長におけるプラズマの発光をモニターすることによって終点検出を行なうことができ る。ステップ S 1〜ステップ S3の各工程では、チャンバ一 1内のプラズマ中の SiNや原 子状水素などの発光強度を検知部 60によって測定する。検知部では、受光したブラ ズマの発光がスペクトルに分けられる。ここでは、これらのスペクトルの中から、タリー ユングやコンディショニングの進行に伴って増減する SiNや原子状水素の発光強度 を測定し、モニターした。 [0067] 例えば、ステップ SIの堆積物除去工程では、検知部 60により 440. 7nmの波長に おけるプラズマ中の SiNの発光をモニターすることにより、チャンバ一 1内における堆 積物の残量を検知し、堆積物除去工程の終点検出を行なうことができる。 SiN、原子 状水素の代わりに SiF、 F、 HFの発光をモニターしても、同じように終点検出すること が可能である。
[0068] また、ステップ S2のフッ素除去工程では、検知部 60により 656. 3nmおけるプラズ マ中の原子状水素の発光をモニターすることにより、チャンバ一 1内におけるフッ素 の残量を検知することができる。チャンバ一 1内に残留したフッ素は、前記のように水 素と反応し HFとしてチャンバ一 1の外部へ排出されることから、残存フッ素が多いほ ど、チャンバ一 1内での水素の消費が多くなり、原子状水素の発光は小さくなる。従つ て、ステップ S2のフッ素除去工程では、チャンバ一内に導入する原子状水素の量を モニターすることによって、間接的にチャンバ一 1内でのフッ素の量を把握することが 可能である。また、 HFをモニターしても同様に、フッ素の残量の検知が可能である。
[0069] さらに、ステップ S3の水素除去工程では、検知部 60により 656. 3nmおけるプラズ マ中の原子状水素の発光をモニターすることにより、チャンバ一 1内における原子状 水素の残量を検知し、終点検出を行なうことができる。
[0070] 図 5は、クリーニング処理において検知部 60によるプラズマ発光の測定を行ない、 堆積物除去工程、フッ素除去工程および水素除去工程の終点判断を行なう場合の 手順の一例を示すフロー図である。本実施形態では、プロセスコントローラ 50による 制御の下で、各工程の終点判断と次工程への切替えを自動的に行なうようにした。 なお、各工程の内容は既に説明した通りであるので、ここでは説明を省略する。
[0071] まず、オペレータがユーザーインターフェース 51を介してクリーニング処理を開始 する旨の指示を入力すると、プロセスコントローラ 50からプラズマ処理装置 100の各 部へ制御信号が送出され、堆積物除去工程が開始される (ステップ Sl l)。堆積物除 去工程の間は、検知部 60によってプラズマ中の SiNの発光(440. 7nm)が測定され 、その測定値はプロセスコントローラ 50へ逐次送出される。プロセスコントローラ 50は 、 SiNの発光(440. 7nm)について堆積物除去工程の終点の目安として予め設定さ れた基準値を記憶部 52から読み出し、検知部 60からの測定値と照合することにより 、測定値が基準値以下であるか否かを判断する(ステップ SI 2)。このステップ S 12で 、 SiN発光の測定値が基準値以下と判断された場合には、プロセスコントローラ 50か らプラズマ処理装置 100の各部へ堆積物除去工程を終了させるための制御信号が 送出され、例えばマイクロ波の供給停止、ガス供給停止などの措置がとられる (ステツ プ S13)。一方、ステップ S 12で、 SiN発光の測定値が基準値以下ではないと判断さ れた場合には、未だ堆積物の除去が不十分なものとして堆積物除去工程の処理が 続行される。ステップ S 12の判断は、 SiN発光の測定値が基準値以下となるまで繰り 返される。
[0072] ステップ S13で堆積物除去工程が終了した後は、プロセスコントローラ 50からプラ ズマ処理装置 100の各部へ制御信号が送出され、フッ素除去工程が開始される(ス テツプ S 14)。フッ素除去工程の間は、検知部 60によってプラズマ中の原子状 Hの発 光(656. 3nm)が測定され、その測定値はプロセスコントローラ 50へ逐次送出される 。プロセスコントローラ 50は、原子状 Hの発光(656. 3nm)についてフッ素除去工程 の終点の目安として予め設定された基準値を記憶部 52から読み出し、検知部 60か らの測定値と照合して、測定値が基準値以上であるか否かを判断する (ステップ S 15 )。このステップ S15で原子状 Hの発光の測定値が基準値以上と判断された場合に は、プロセスコントローラ 50からプラズマ処理装置 100の各部へ制御信号が送出され 、例えばマイクロ波の供給停止、ガス供給停止などの措置がとられ、フッ素除去工程 を終了させる(ステップ S 16)。一方、ステップ S 15で、原子状 Hの発光の測定値が基 準値以上ではないと判断された場合には、フッ素除去工程の処理が続行される。ス テツプ S 15の判断は、原子状 Hの発光の測定値が基準値以上になるまで繰り返され
[0073] ステップ S16でフッ素除去工程が終了した後は、プロセスコントローラ 50からプラズ マ処理装置 100の各部へ制御信号が送出され、水素除去工程が開始される(ステツ プ S17)。水素除去工程の間は、検知部 60によってプラズマ中の原子状 Hの発光(6 56. 3nm)が測定され、その測定値はプロセスコントローラ 50へ逐次送出される。プ ロセスコントローラ 50は、原子状 Hの発光(656. 3nm)について予め設定された基 準値を記憶部 52から読み出し、検知部 60からの測定値と照合して、測定値が基準 値以下であるか否かを判断する(ステップ S 18)。このステップ S 18で原子状 Hの発光 の測定値が基準値以下と判断された場合には、プロセスコントローラ 50からプラズマ 処理装置 100の各部へ制御信号が送出され、例えばマイクロ波の供給停止、ガス供 給停止などの措置がとられ、水素除去工程を終了させる (ステップ S 19)。一方、ステ ップ S 18で、原子状 Hの発光の測定値が基準値以下ではないと判断された場合には 、水素除去工程の処理が続行される。ステップ S 18の判断は、原子状 Hの発光の測 定値が基準値以下になるまで繰り返される。
[0074] 以上のようにして、プラズマクリーニング処理の全工程が終了する。本実施形態で は、プラズマの発光を検知部 60によってモニターし、プラズマクリーニング処理の各 工程 (堆積物除去工程、フッ素除去工程および水素除去工程)の終点検出を行なう ので、工程時間を適切に管理することが可能になる。よって、過剰なプラズマ処理に よるプラズマダメージの発生や、不十分なプラズマ処理によるパーティクルの発生、 成膜への悪影響などが回避され、低プラズマダメージで優れたクリーニング効果を得 ること力 Sでさる。
[0075] また、プラズマ処理装置 100内において、特に高ストレスを有する絶縁膜 (例えば、 Si N、 SiO等)を成膜する際、このような絶縁膜がチャンバ一内に付着した場合、
3 4 2
膜の強いストレスによってチャンバ一内部材との密着状態が保たれず、剥がれが生じ やすくなる。その結果、パーティクルが発生してウェハ Wの連続処理が困難になる。 このような場合、 1枚あるいは数枚のウェハ Wに絶縁膜を成膜する度にチャンバ一内 クリーニングを実施する必要がある。
[0076] 図 6は、プラズマ CVD処理の間に、プラズマクリーニング処理を実施するプラズマ C VD方法の手順を説明する図面である。例えば少なくとも 1枚のウェハ Wをプラズマ C VD処理した後、 1回目のクリーニング処理を行なう。このクリーニング処理は、図 4と 同様にステップ S1〜ステップ S3の手順で行なうことが可能であり、前記のとおり、ス テツプ S2のフッ素除去処理とステップ S3の水素除去処理を繰り返して実施することも できる。高ストレスの絶縁膜を成膜する際は、パーティクルが発生しやすいので、この ように 1枚毎または数枚毎にクリーニングを実施することが好ましい。
[0077] 1回目のクリーニング終了後は、例えば 2枚目のウェハ Wについて再びプラズマ CV D処理を行ない、その後、再びクリーニング処理を実施する。このように、プラズマ CV D処理と in situのクリーニング処理を交互に実施することによって、チャンバ一 1内 の堆積物が剥がれ、パーティクルが発生する前に確実に除去できる。従って、パーテ イタルの発生を極力抑制しつつ、安定して連続の成膜処理を行なうことができる。
[0078] 次に、本発明の効果を確認した試験結果について説明する。
まず、 300mmウェハ Wに対して窒化珪素膜の成膜処理を行なった後で、チャンバ 一 1内に約 500nmの厚さで堆積物が形成されたプラズマ処理装置 100において、 以下の条件でプラズマクリーニング処理を実施した。なお、本試験におけるガス流量 、処理圧力等の詳細な設定条件について、図 7に記載した。なお、この試験では、 N Fガスを上側のガス導入部 15aから供給し、 Hガスを下側のガス導入部 15bから供
3 2
給したが、これらのガス導入位置の上下は逆でもよ!/、。
[0079] <プラズマクリーニング条件〉
(1)堆積物除去工程:
Arガス流量(ガス導入部 15a) ; 400mL/min (sccm)
Arガス流量(ガス導入部 15b); 400mL/min (sccm)
NFガス流量(ガス導入部 15a) ; 500mL/min (sccm)
3
処理圧力; 100Pa (750mTorr)
処理温度; 500°C
マイクロ波パワー密度; 1. 67W/cm2
マイクロ波パワー; 2000W
処理時間; 15分
[0080] (2)フッ素除去工程:
Arガス流量(ガス導入部 15a) ; 500mL/min (sccm)
Arガス流量(ガス導入部 15b); 500mL/min (sccm)
Hガス流量(ガス導入部 15b) ; 20mL/min (sccm)
2
処理圧力; 66· 7Pa (500mTorr)
処理温度; 500°C
マイクロ波パワー密度; 1. 67W/cm2 マイクロ波パワー; 2000W
処理時間; 10分
[0081] (3)水素除去工程:
Arガス流量(ガス導入部 15a) ; 500mL/min (sccm)
Arガス流量(ガス導入部 15b); 500mL/min (sccm)
処理圧力; 66· 7Pa (500mTorr)
処理温度; 500°C
マイクロ波パワー密度; 1. 67W/cm2
マイクロ波パワー; 2000W
処理時間; 3分
なお、この水素除去工程では、マイクロ波の出力のオン/オフを切替えることにより 、チャンバ一 1内で Arガスプラズマを 180秒毎に間欠的に形成するサイクル処理を 実施した。
[0082] 図 7のタイミングチャートに示すように、ステップ B〜Eが NFプラズマによる堆積物
3
除去工程、ステップ G〜Kが Hプラズマによるフッ素除去工程、ステップ M
2 〜Qが Ar プラズマによる水素除去工程である。各工程の前後には、真空引きを実施した (ステ ップ A、ステップ F、ステップ: L、ステップ R)。また、各工程において、プラズマ着火(マ イク口波パワー.オン)を行なうステップ B、ステップ H、ステップ Nで、チャンバ一内圧 力を 126. 6Paと各クリーニング条件の圧力より高く設定しているのは、プラズマを生 成しやすくするためである。そして、次のステップ C、ステップ I、ステップ Oでそれぞれ 処理圧力をクリーニング条件に下げ、ガス流量を安定化させた後、さらに次のステツ プ0、ステップ J、ステップ Pでプラズマを ONにして各プラズマクリーニングを実施した
[0083] 上記堆積物除去工程においては、検知部 60を用いて波長 440. 7nmにおけるプ ラズマ中の SiNの発光強度をモニターして終点検出を行なった。また、上記フッ素除 去工程においては、検知部 60を用いて波長 656. 3nmにおけるプラズマ中の水素 の発光強度をモニターして終点検出を行なった。さらに、上記水素除去工程におい ては、検知部 60を用いて波長 656. 3nmにおけるプラズマ中の水素の発光強度を モニターして終点検出を行なった。各工程における発光スペクトルを図 8A〜8Bに示 した (縦軸は規格化した発光強度を示す)。
[0084] 図 8Aから、 Ar/NFガスプラズマによる処理時間が経過するに従い、プラズマ中
3
の SiNの発光強度が低下し、やがて安定化することがわかる。したがって、プラズマ 中の SiNの発光強度が、予め設定された基準値以下となった時点を以て堆積物除 去工程の終点と判断することができる。なお、 目視による観察では、発光強度が例え ば 1000以下になった時点で、チャンバ一 1内の堆積物(SiN)はほとんど除去されて いた。なお、プラズマ中の SiNの発光強度力 当該基準値以下で安定化したこと(例 えば発光スペクトルの接線の傾きが所定値以下)を以て堆積物除去工程の終点と判 断してもよい。また、堆積物除去工程の終点の判断は目視により行なうこともできる。
[0085] また、図 8Bから、 Ar/Hガスプラズマによる処理時間が経過するに従い、プラズマ
2
中の原子状水素の発光強度が増加してレ、ること力 Sわ力、る。これはフッ素との反応(H Fの形成)により消費されていた水素力 チャンバ一 1内におけるフッ素の減少に反 比例して増加したことを示している。したがって、プラズマ中の原子状水素の発光強 度が予め設定された基準値以上 (例えば 6000以上)となった時点を以てフッ素除去 工程の終点とすることができる。なお、プラズマ中の原子状水素の発光強度が、当該 基準値以上で安定化したこと(例えば発光スペクトルの接線の傾きが所定値以下)を 以てフッ素除去工程の終点と判断してもよレ、。
[0086] 図 8Cから、 Arガスプラズマによるプラズマ処理によりプラズマ中の原子状水素の発 光強度が減少し、サイクルを繰り返すことにより、略一定のレベルで安定化していくこ とがわかる。これは、プラズマ処理により、チャンバ一 1内における水素が Arガスプラ ズマのスパッタカによって遊離し、チャンバ一 1外へ排気されることによって減少した ことを示している。従って、プラズマ中の原子状水素の発光強度が、予め設定された 基準値以下 (例えば 250以下)となった時点を以て堆積物除去工程の終点と判断す ること力 Sできる。なお、プラズマ中の原子状水素の発光強度が、当該基準値以下で安 定化したこと(例えば発光スペクトルの接線の傾きが所定値以下)を以て水素除去ェ 程の終点と判断してもよい。この水素除去工程は、少なくとも 2回以上繰り返し実施す ることが好ましい。 [0087] 以上の結果から、プラズマ処理装置 100のチャンバ一 1内を、まず Ar/NFガスプ
3 ラズマによって処理することにより、堆積物を効率良く除去できることが示された。また
、堆積物を除去した後のチャンバ一 1内を、 Ar/Hガスプラズマによって処理するこ
2
とにより、残留したフッ素を効率よく除去することが可能であり、さらにその後のチャン バー 1内を Arガスプラズマによって処理することにより、残留した水素を効果的に除 去できること力 S確認された。よって、本実施形態のプラズマクリーニング処理を実施す ることにより、プラズマ CVDに用いられるプラズマ処理装置 100のチャンバ一 1内を 効率良くクリーニングできることが確認された。
[0088] なお、本発明は上記実施形態に限定されることはなぐ種々の変形が可能である。
例えば、図 1のプラズマ処理装置 100では、プラズマ CVD処理を行なった後のチヤ ンバー 1内で in situにクリーニングガスのプラズマを励起させる構成とした力 これに 限らず、例えばプラズマ処理装置 100のチャンバ一 1の外部で励起させたタリーニン グガスのプラズマをチャンバ一 1内に導入するリモートプラズマ方式でクリーニング処 理を fiなうこともできる。
[0089] また、上記実施形態では、 RLSA方式のプラズマ処理装置 100を例示した力 本 発明のクリーニング方法は、他の方式のプラズマ処理装置、例えばリモートプラズマ 方式、 ICPプラズマ方式、 ECRプラズマ方式、表面反射波プラズマ方式、マグネトロ ンプラズマ方式等のプラズマ処理装置にも適用することができる。
[0090] また、プラズマ処理装置 100における成膜処理の対象としては、窒化珪素膜(SiN 膜)に限らず、例えば酸化珪素(SiO )膜、ポリシリコン膜、タングステン (W)膜、タン
2
ダステンシリサイド (WSi)膜、チタン (Ti)膜、窒化チタン (TiN)膜、タンタル (Ta)膜、 窒化タンタル (TaN)膜等の成膜を行なう場合のクリーニングにも適用可能である。
[0091] なお、上記実施形態におけるプラズマクリーニング方法では、堆積物除去工程で N Fガスを用いたが、例えば C1や HC1、 C1Fなどのハロゲン含有ガスを用いてチャン
3 2 3
バー内堆積物を除去する場合にも、その後に Hによるハロゲン除去工程、さらに必
2
要に応じて Ar等の希ガスによる水素除去工程を実施することにより、プラズマタリー ユングを実施可能である。

Claims

請求の範囲
[1] プラズマ処理装置における、その中に堆積物が堆積している処理容器内をタリー二 ングガスのプラズマを用いてクリーニングするプラズマクリーニング方法であって、 前記処理容器内に NFガスを含むクリーニングガスを導入してプラズマを形成し、
3
前記処理容器内の堆積物を除去することと、
前記堆積物を除去した後、前記処理容器内に水素ガスを含むガスを導入してブラ ズマを形成し、前記処理容器内に残留するフッ素を除去することと
を含む、プラズマクリーニング方法。
[2] フッ素を除去した後、前記処理容器内に希ガスを含むガスを導入してプラズマを形 成し、前記処理容器内に残留する水素を除去することをさらに含む、請求項 1に記載 のプラズマタリ一ユング方法。
[3] 前記フッ素を除去することと、前記水素を除去することとを、繰り返し実施する、請求 項 2に記載のプラズマクリーニング方法。
[4] 前記堆積物を除去する際、フッ素を除去する際および水素を除去する際に、それ ぞれプラズマの発光をモニターすることによって終点検出する、請求項 2に記載のプ ラズマクリーニング方法。
[5] 前記プラズマ処理装置は、複数のスロットを有する平面アンテナにて前記処理容器 内にマイクロ波を導入してプラズマを形成させ、被処理基板表面に窒化珪素膜を堆 積させるプラズマ CVD装置である、請求項 1に記載のプラズマクリーニング方法。
[6] 複数のスロットを有する平面アンテナを備えたマイクロ波処理装置の、その中に堆 積物が堆積している処理容器内をクリーニングガスのプラズマを用いてクリーニング するプラズマクリーニング方法であって、
前記処理容器内に NFガスを含むクリーニングガスを導入することと、
3
前記平面アンテナを介してマイクロ波を前記処理容器内に供給することにより、前 記処理容器内に前記クリーニングガスのプラズマを形成して Fの活性種を生成するこ とと、
前記処理容器内の前記堆積物と前記 Fの活性種とを反応させて前記堆積物を揮 発させることと、 前記揮発した堆積物成分を前記処理容器力 排出させることと
を含む、プラズマクリーニング方法。
[7] 前記揮発した堆積物成分の排出の後、前記処理容器内に水素ガスを含むガスを 導入してプラズマを形成し、前記処理容器内に残留するフッ素を除去することをさら に含む、請求項 6に記載のプラズマタリ一ユング方法。
[8] プラズマ CVD装置の処理容器内で被処理基板表面に窒化珪素膜を堆積させるプ ラズマ CVD方法であって、
前記処理容器内に導入した窒素含有ガスとシリコン含有ガスを含むガスによりブラ ズマを形成し、このプラズマにより被処理基板の表面に窒化珪素膜を堆積させること と、
前記処理容器内に NFガスを含むクリーニングガスを導入してプラズマを形成し、
3
前記処理容器内の堆積物を除去することと、
前記堆積物を除去した後、前記処理容器内に水素ガスを含むガスを導入してブラ ズマを形成し、前記処理容器内に残留するフッ素を除去することと、
フッ素を除去した後、さらに前記処理容器内に希ガスを含むガスを導入してプラズ マを形成し、前記処理容器内に残留する水素を除去することと、
を含む、プラズマ CVD方法。
[9] 前記フッ素を除去することと、前記水素を除去することとを、繰り返し実施する、請求 項 8に記載のプラズマ CVD方法。
[10] 水素を除去した後、再び、前記プラズマ CVD工程を行なう、請求項 8に記載のブラ ズマ CVD方法。
[11] コンピュータ上で動作し、プラズマ処理装置の処理容器内をクリーニングガスのプラ ズマを用いてクリーニングする際に前記プラズマ処理装置を制御するプログラムが記 憶された記憶媒体であって、
前記プログラムは、実行時に、
前記処理容器内に NFガスを含むクリーニングガスを導入してプラズマを形成し、
3
前記処理容器内の堆積物を除去することと、前記堆積物を除去した後、前記処理容 器内に水素ガスを含むガスを導入してプラズマを形成し、前記処理容器内に残留す るフッ素を除去することとを含むプラズマクリーニング方法が行われるようにコンビユー タに前記プラズマ処理装置を制御させる、記憶媒体。
プラズマを用いて被処理基板を処理するための真空排気可能な処理容器と、 前記処理容器内にマイクロ波を導入する複数のスロットを有する平面アンテナと、 前記処理容器内にタリ一ユングガスを供給するガス供給機構と、
前記処理容器内に NFガスを含むクリーニングガスを導入してプラズマを形成し、
3
前記処理容器内の堆積物を除去することと、前記堆積物を除去した後、前記処理容 器内に水素ガスを含むガスを導入してプラズマを形成し、前記処理容器内に残留す るフッ素を除去することとを含むプラズマクリーニング方法が行われるように各構成部 を制御する制御部と、
を備えた、プラズマ処理装置。
PCT/JP2007/068098 2006-09-19 2007-09-18 Processus de nettoyage de plasma et procédé cvd plasma WO2008035678A1 (fr)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2008535357A JP5241499B2 (ja) 2006-09-19 2007-09-18 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US12/441,828 US8366953B2 (en) 2006-09-19 2007-09-18 Plasma cleaning method and plasma CVD method
CN2007800347636A CN101517713B (zh) 2006-09-19 2007-09-18 等离子体清洁方法和等离子体cvd方法
KR1020097005392A KR101057877B1 (ko) 2006-09-19 2007-09-18 플라즈마 세정 방법 및 플라즈마 cvd 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006-252446 2006-09-19
JP2006252446 2006-09-19

Publications (1)

Publication Number Publication Date
WO2008035678A1 true WO2008035678A1 (fr) 2008-03-27

Family

ID=39200503

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2007/068098 WO2008035678A1 (fr) 2006-09-19 2007-09-18 Processus de nettoyage de plasma et procédé cvd plasma

Country Status (6)

Country Link
US (1) US8366953B2 (ja)
JP (1) JP5241499B2 (ja)
KR (1) KR101057877B1 (ja)
CN (1) CN101517713B (ja)
TW (1) TWI428962B (ja)
WO (1) WO2008035678A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012147680A1 (ja) * 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
JP2012238644A (ja) * 2011-05-10 2012-12-06 Ulvac Japan Ltd ZrBO膜の形成装置
WO2016181893A1 (ja) * 2015-05-14 2016-11-17 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
JP2017098543A (ja) * 2015-11-20 2017-06-01 ウォニク アイピーエス カンパニー リミテッド 半導体素子の製造方法
WO2022264829A1 (ja) * 2021-06-16 2022-12-22 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
WO2023238266A1 (ja) * 2022-06-08 2023-12-14 株式会社日立ハイテク プラズマ処理方法

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
CN103035466B (zh) * 2011-10-08 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种预清洗方法及等离子体设备
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
WO2013141370A1 (ja) * 2012-03-22 2013-09-26 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
KR101965256B1 (ko) * 2012-10-17 2019-04-04 삼성디스플레이 주식회사 유기 발광 표시 장치
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9246133B2 (en) * 2013-04-12 2016-01-26 Semiconductor Energy Laboratory Co., Ltd. Light-emitting module, light-emitting panel, and light-emitting device
CN104233234A (zh) * 2013-06-17 2014-12-24 沙嫣 一种带氟清理装置的pecvd炉及其氟清理方法
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
CN105448659A (zh) * 2014-09-25 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种提高清洗效率的方法
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
JP6524753B2 (ja) * 2015-03-30 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
CN105714270A (zh) * 2016-04-15 2016-06-29 信利(惠州)智能显示有限公司 化学气相沉积清洗终点监测方法及其系统
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
CN106373868B (zh) * 2016-10-10 2020-03-10 昆山龙腾光电股份有限公司 一种阵列基板的制造方法
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6845773B2 (ja) 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
JP2020077750A (ja) * 2018-11-07 2020-05-21 東京エレクトロン株式会社 クリーニング方法及び成膜方法
JP7190915B2 (ja) * 2019-01-18 2022-12-16 東京エレクトロン株式会社 基板処理装置の洗浄方法、および基板処理装置
JP7385809B2 (ja) * 2019-09-05 2023-11-24 日新イオン機器株式会社 イオンビーム照射装置のクリーニング方法
CN110747450A (zh) * 2019-09-12 2020-02-04 常州比太科技有限公司 一种hit镀膜设备在线清洗后腔体寿命快速恢复方法
JP2022086144A (ja) * 2020-11-30 2022-06-09 東京エレクトロン株式会社 チャンバーコンディションの診断方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0620975A (ja) * 1992-09-11 1994-01-28 Semiconductor Energy Lab Co Ltd 炭素膜作製方法
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
JP2002371360A (ja) * 2001-06-15 2002-12-26 Matsushita Electric Ind Co Ltd 半導体の製造方法
JP2003037105A (ja) * 2001-07-26 2003-02-07 Tokyo Electron Ltd プラズマ処理装置及び方法
JP2003293138A (ja) * 2001-12-25 2003-10-15 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
JP2006086325A (ja) * 2004-09-16 2006-03-30 Tokyo Electron Ltd クリーニングの終点検出方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5201990A (en) * 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
JPH0793276B2 (ja) 1993-12-14 1995-10-09 アプライド マテリアルズ インコーポレイテッド 薄膜形成前処理方法および薄膜形成方法
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6911233B2 (en) * 2002-08-08 2005-06-28 Toppoly Optoelectronics Corp. Method for depositing thin film using plasma chemical vapor deposition
JP3657942B2 (ja) * 2003-01-16 2005-06-08 沖電気工業株式会社 半導体製造装置の洗浄方法、及び半導体装置の製造方法
JP2004335789A (ja) * 2003-05-08 2004-11-25 Tadahiro Omi 基板処理装置のクリーニング方法
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
JP4718189B2 (ja) * 2005-01-07 2011-07-06 東京エレクトロン株式会社 プラズマ処理方法
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
US7510976B2 (en) * 2006-04-21 2009-03-31 Applied Materials, Inc. Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0620975A (ja) * 1992-09-11 1994-01-28 Semiconductor Energy Lab Co Ltd 炭素膜作製方法
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
JP2002371360A (ja) * 2001-06-15 2002-12-26 Matsushita Electric Ind Co Ltd 半導体の製造方法
JP2003037105A (ja) * 2001-07-26 2003-02-07 Tokyo Electron Ltd プラズマ処理装置及び方法
JP2003293138A (ja) * 2001-12-25 2003-10-15 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
JP2006086325A (ja) * 2004-09-16 2006-03-30 Tokyo Electron Ltd クリーニングの終点検出方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012147680A1 (ja) * 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
JPWO2012147680A1 (ja) * 2011-04-25 2014-07-28 東京エレクトロン株式会社 成膜方法
JP5660205B2 (ja) * 2011-04-25 2015-01-28 東京エレクトロン株式会社 成膜方法
US9034774B2 (en) 2011-04-25 2015-05-19 Tokyo Electron Limited Film forming method using plasma
JP2012238644A (ja) * 2011-05-10 2012-12-06 Ulvac Japan Ltd ZrBO膜の形成装置
WO2016181893A1 (ja) * 2015-05-14 2016-11-17 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
JP2016219451A (ja) * 2015-05-14 2016-12-22 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
TWI696219B (zh) * 2015-05-14 2020-06-11 日商東京威力科創股份有限公司 清理方法及電漿處理方法
JP2017098543A (ja) * 2015-11-20 2017-06-01 ウォニク アイピーエス カンパニー リミテッド 半導体素子の製造方法
WO2022264829A1 (ja) * 2021-06-16 2022-12-22 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
WO2023238266A1 (ja) * 2022-06-08 2023-12-14 株式会社日立ハイテク プラズマ処理方法

Also Published As

Publication number Publication date
CN101517713B (zh) 2011-02-09
JPWO2008035678A1 (ja) 2010-01-28
KR20090053823A (ko) 2009-05-27
JP5241499B2 (ja) 2013-07-17
TWI428962B (zh) 2014-03-01
KR101057877B1 (ko) 2011-08-19
US8366953B2 (en) 2013-02-05
TW200830375A (en) 2008-07-16
US20090308840A1 (en) 2009-12-17
CN101517713A (zh) 2009-08-26

Similar Documents

Publication Publication Date Title
JP5241499B2 (ja) プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
JP4836780B2 (ja) 基板処理装置における処理室のクリーニング方法およびクリーニングの終点検出方法
JP4979575B2 (ja) 基板の窒化処理方法および絶縁膜の形成方法
US7588036B2 (en) Chamber clean method using remote and in situ plasma cleaning systems
JP5078617B2 (ja) 選択的プラズマ処理方法およびプラズマ処理装置
JPWO2008026531A1 (ja) プラズマ酸化処理方法
JP5390379B2 (ja) プラズマ窒化処理におけるチャンバ内の前処理方法、プラズマ処理方法、および記憶媒体
WO2008038788A1 (fr) Procédé de formation d&#39;un film d&#39;oxyde de silicium, appareil de traitement au plasma et support de stockage
JP5271702B2 (ja) シリコン酸化膜の形成方法およびシリコン酸化膜の形成装置
KR101432415B1 (ko) 플라즈마 질화 처리 방법 및 플라즈마 질화 처리 장치
JP2008034579A (ja) プラズマ表面処理方法、石英製部材、プラズマ処理装置およびプラズマ処理方法
WO2009123049A1 (ja) 高ストレス薄膜の成膜方法及び半導体集積回路装置の製造方法
WO2004086480A1 (ja) プラズマ処理装置のクリーニング方法及びプラズマ処理装置
JP2011029250A (ja) マイクロ波プラズマ処理装置およびマイクロ波プラズマ処理方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780034763.6

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07807487

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2008535357

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020097005392

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 12441828

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07807487

Country of ref document: EP

Kind code of ref document: A1