TWI253682B - Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby - Google Patents

Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby Download PDF

Info

Publication number
TWI253682B
TWI253682B TW091110513A TW91110513A TWI253682B TW I253682 B TWI253682 B TW I253682B TW 091110513 A TW091110513 A TW 091110513A TW 91110513 A TW91110513 A TW 91110513A TW I253682 B TWI253682 B TW I253682B
Authority
TW
Taiwan
Prior art keywords
substrate
mark
radiation
alignment
alignment mark
Prior art date
Application number
TW091110513A
Other languages
English (en)
Inventor
Eugenio Guido Ballarin
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Application granted granted Critical
Publication of TWI253682B publication Critical patent/TWI253682B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/975Substrate or mask aligning feature

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Multimedia (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

1253682
!253682
於光束移動。 -一可程式化鏡面陣列。該種裝置的—個例子為_陣列 址表面,具有-黏彈性控制層及—反射表面。該 基本原理為(例如)反射声而&口 a 衣置的 (Η )反射表面的已定址區域反射入射光為衍 射先,而未疋址區域則反射入射光為非衍射光。利用適去 濾鏡可自反射光束中篩檢出該非衍射光,僅播下衍射光田 如此光束即依陣列可定址表面的定址圖案成為圖案化。一 可程式反射鏡面陣列的另夕卜具體實施例使用一微小反射鏡 面的陣列配置’藉由施加一適當的局部電場或使用一壓電 起動裝置,各個反射鏡面可分別繞一軸傾斜。再者,鏡= 為可疋址陣列,使得該定址鏡面以不同方向反射入射的輻 射光束至未定址的鏡面;在此方法中,根據陣列可定址鏡 面的疋址圖案幵/成反射光束圖案。可使用適當的電子構件 ,以執行所需的陣列定址。在上述的兩種狀況下,形成圖 案裝置可包括一或多個可程式反射鏡面陣列。本文所述的 反射鏡面陣列的詳細資料,請參閱(例如)美國專利us 5,296,891 及 US5,523,193 及 PCT專利申請 W0 98/38597及 W0 98/33096,此處以提及方式併入本文。就可程式鏡面 陣列而§ ’该支撐結構可以(例如)框架或台面方式具體化 ’且視需要可為固定或移動式。 -一可程式LCD陣列。這種構造的實例可於美國專利案號 US 5,229,872中找到,此處以提及方式併入本文。如上所 述’此種狀況的支撐結構可以(例如)框架或台面方式具體 化,並視需要可為固定或移動式。 -5 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公爱) 1253682 五、發明説明 A7 B7
基於簡化的目的,本文其餘部份將在特定位置專門探討 有關光罩及光罩台的實例,然而,此類實例中所探討的通 用原理應適用於較廣域的圖案化構件中。 微影投影裝置可用於(例如)積體電路(IC)的製造上。在此 種情況中’圖案化構件可產生相關於IC中單一層的電路圖 案,並可將此圖案成像於已塗覆一層對輻射敏感的材料(光 刻膠;resist)之一基板(矽晶圓)上的目標部份(如包括一或多 個晶模(die)。一般而言,單一晶圓可包括眾多相鄰目標部 份所構成之網路,它們將依次由投影系統逐個照射。在本 襄置中,利用光罩台上的光罩進行圖案化,可區分成兩種 不同形式的機器。在一種微影投影裝置中,一趟動作將整 個光罩圖案暴露於目標部份上,讓每一目標部份都照射到 ’此種裝置一般稱為晶圓步進機(wafer stepper)。在另一種 般稱為步進知描裝置(step-and-scan apparatus)的可供選擇 裝置中,於投影光束下以一指定參考方向(掃描方向)逐步掃 描光罩圖案以照射每一目標部份,並同步地與此方向平行 同向或平行反向掃描基板台,因通常此投影系統具有一放 大倍率Μ(通常<1),故掃描基板台的速率v將為掃描光罩台 速率的Μ倍。有關上述微影裝置的進一步資訊可於(例如)us M46,792中收集到,本文中以提及方式併入。 在使用微影投影裝置的製造方法中,於至少部份由一層 對輻射敏感的材料(光刻膠)覆蓋的基板上映射一圖案(例如 在一光罩中)。在此成像步驟之前,基板可經各種程序處理 ’例如打底(pnnung)、光刻膠塗佈及軟烘(S0ft bake)。曝光 -6 - 本紙張尺度通用中國國家標準(CNS) A4規格(210X 297公釐) 1253682
之後’該基板可接受其他處理’例如曝光後烘乾(ρ〇“· exposure bake,PEB)、顯影、硬烘(hard 及測量 /檢查 成像之特徵。這一系列的程序係用來作為一基礎,以圖案 化-裝置(如1C)的單-層。接著,此―圖案化層可再經過各 種處理,例如蝕刻、離子植入(摻雜)、金屬電鍍、氧化、化 學機械拋光等,所有步驟皆為各層表面處理所需。如果需 ^許多層,則整個程序,或其一變化步驟必須每層重覆。 取後,在基板(晶圓)上將呈現一系列的裝置。接著,將會利 用種諸如切割(dicins)或鋸切(sawing)的技術分割這些裝 置,之後可將個別裝置裝載於載架(carner)上、連接至接腳 針(Pin)等。有關此種程序的進一步資訊可由(例如)peter 乂⑽ Zant所著「微晶片製造··半導體處理指南(Mi_c叫 Fabrication: A Practical Gmde to Semiconductor Processmg,
Thnrd Edhion,McGraw HU1 publlshing Co.,1997, ·Ν … 07-0672 50-4)」一書中獲得,本文中以提及方式併入。 為簡化起見,以下稱投影系統為「透鏡」;不過,這個 術語必須作廣義的解釋以包括各種投影系統,例如,包含 折射光學、反射光學及反折射的系統。該輻射系統亦可包 含根據任何設計類型操作的組件’用以引導、塑造或控制 輻射的投影光束,且該組件以下也可以統稱或獨稱為「透 鏡」。另外,此微影裝置可能是一種具有兩個以上基板台 (及/或兩個以上光罩台)的形式。在此種「多平台」裝置中 ,可以平行使用額外台面,或在一或多個台面上進行準備 步驟,而其他一或多個台面則用於曝光。雙平台微影裝置 本紙張尺度適用中國國家標準(CNS) A4規格(21〇 X 297公着) 1253682 A7 _________ B7 五、發明説明(5 ) 在(例如)US 5,969,441及〜0 98/4〇79丨中均有說明’此處以 提及方式併入本文中。 本^月關於一種微影投影裝置之對準系統中使用的對 準祆乂,用以使圖案化構件中的圖案對準基板。此種對準 系、k (例如·國際專利申請案號w〇98/39689中所述之間接 偏離軸線對準系統,此處以提及方式併入本文)係引用一輻 射對準光束,其係由一分離之對準單元所放射,且照射於 X基板上之一光栅形式的標記上,。該光柵將該對準光束 衍射成數個子光束,相對於該光栅之法線朝不同角度放射 。這些不同的子光束將由該對準單元的一透鏡導引至一平 面的不同位置。可在此平面上配置各種構件,以進一步將 不同子光束分離。同時,亦將利用該透鏡系統使不同子光 束成像於-參考板上,以形成該標記之一影像。可於該參 考板中配置一參考標記,並於該參考標記後方配置一對輻 射敏感之偵測器。該偵測器的輸出信號將決定於該基板標 記和該參考標記影像重疊的程度。如此,即可量測基板上 標記與對準I元中參考標言己的對準程纟,並予以最佳化。 該偵測器可包括分離的個別偵測器,以量測不同狀況下的 強度及對準位置。為完成對準,必須使對準單元中的參考 標記與第二參考標記對準,例如:配置於該基板台以配合 該對準單元者。接著,即可利用曝光光線將此第二參考標 記與該光罩中的一標記對準。為此目的,可引用美國專利 申請案號US 5,144,363中所述的一裝置與方法,此處以提及 方式併入本文。 -8 - 1253682 A7
或者’可用—直接軸線上對準系統,其透過投影系統直 接將對準光束引導至配置於基板上的一標記處。此光束 將被α亥基板上之標記衍射成不同的子光束,並反射至投影 2、、’先中。在穿越該投影系統後,不同的子光束將聚焦於配 12光罩上的一參考對準標記上。由子光束形成的基板標 己〜像即可成像於該光罩之參考標記上。如此,即可量測 基板上標記與光罩中參考標記的對準程度,並予以最佳化 其可藉使用對輻射敏感之一偵測器來完成,其係建構並 配置以偵測穿過該光罩中之標記的對準光束者。如需更多 有關以上所述軸線上對準系統的資訊,請參考(例如)美國專 利申叫案唬US 4,778,275 ,此處以提及方式併入本文。 現有對準標記係以實質上比成像於基板上的特徵為大会 比例形成。例如:一「盒中盒(b〇x-in-b〇x)」型的標記可截 擁有10 μηι以上的大小,而成像於基板上特徵的最小尺寸貝| 可能僅有〇·1 μπι。因此,當將對準標記投影於基板上時, 光罩圖案中對準標記衍射的光線將行經不同於圖案化特德 所衍射的光線之路徑通過投影光學設備。因此,這些對準 標記的影像將遭受到與光罩特徵的影像不同的像差,故對 準標記的位置誤差亦將不同於圖案化特徵的位置誤差。此 將對判定重疊誤差的準確度形成限制。歐洲專财請案號 ΕΡ 0 997 782對此問題提出了一項解決方案,其中所形成之 對準標記係如一光柵,具有類似於電路圖案中的線條、寬 度以及間隔。對準係利用該基板上對準標記的一電視攝= 機影像來進行的。然而,ΕΡ 〇 997 782中提議的對準標記= A7 B7
1253682 五、發明説明(7 能表現出斑鄰近線條圖案相同的位置誤差,^ 叫亚不能在量 測別種圖案的重疊誤差時提供改善的準確声。π ^ 乃外,該對 準標記需要為決定其位置作額外安排,且係盘τ曰 〇 、兴現有對準系 統不相容。 本發明的一項目標即在提供一種對準標記,甘^ /、係能表現 出與許多圖案形式相當的位置誤差’且可用爾 J尼百對準工具 加以對準者。 ^ 本發明之此項及其他目標係由一種基板所達成,在I # 該基板上的實質透射處理層中備有一對準標年, 、 邊ί示記包 括: -至少一相對高反射區,用以反射一幸昌射對進氺去μ 土 Τ平九束的輻射 ’以及 -相對低反射區,用以反射該輻射光束的較少輻射; 其特徵為:該高反射區係沿著第一及第二方向被分割,二 方向實質上係互相垂直,故該高反射區係顯著地包括矩形 的區段。 藉分割該對準標記的相對高反射區成為矩形子區,可安 排該標記以將光線如光罩圖案中之結構一般衍射至投影透 鏡之瞳孔平面的類似位置。因此,投影於晶圓上的該對準 標記之影像將遭遇光罩圖案結構影像相同的像差問題,故 該標記在基板上的位置將更能代表圖案化.特徵的位置,使 更準確量測重疊誤差成為可能。。由於高反射區的每一部 份皆係沿著兩個方向分割成矩形片斷,故整個標記將經歷 相同的像差。另外,由於有兩個正交方向的衍射,故能充 -10-
線 1253682 A7 B7 五、發明説明(8 分檢查瞳孔平面。 该南反射區的分割可應用於現有標記上。在現有標記形 成光概之處’其分割的規模比該光栅的間隔為小,以致將 被現有對準系統忽略,而能直接以習知的方式量測該標記 位置。 本 '明進一步提供一種包含一圖案之光罩,用以曝光如 上所述之對準標記。 /製造本發明之光罩可使用一設計方法,將一光罩用作一 微〜扠衫裝置中投影於一基板上的光罩圖案,該光罩至少 包含-個對準標記,該對準標記包括相對高反射區和相對 低反射區,該方法包括以下步驟: ⑨決定該光罩圖案中至少一結構之不同衍射等級,在該微 〜才又〜裝置之投影系統的曈孔平面中的位置; -決定該對準標記之高反射區的適當分割數,以使該對準 標記的不同㈣等級實質上定位於該睹孔平面上與該至少 一個結構之不同衍射等級相同之位置;以及 以按照所決定的 '改變該光罩圖案中的對準標記的圖案 適當分割方式執行。 根據本發明的另一項觀點,提供一 驟包括: 裝置製造方法 其步 基板台上提供包括對準標記之 記在至少部份覆蓋一層輻射敏感材料的二私這些對準標 反射與低反射區; 、層中包括高 -利用一輻射對準光束來使該對準標記盘一夂 /、 *号標記對準; 本紙張尺度逋用中國國家標準((:]^3) A4規格(21〇χ 297公釐) -11 - 1253682 A7 ______B7 _ 五、發明説明(9 ) -利用一輻射系統提供一輻射投影光束; -利用圖案化構件賦予投影光束一圖案式之斷面;以及 -將圖案化之輻射光束投影至輻射敏感材料層之一目標部 份’其特徵為:該高反射區係沿著第一及第二方向被分割 ’二方向實質上係互相垂直,故該高反射區係顯著地包括 矩形的區段。 雖然本文提供使用本發明的裝置製造IC的特定參考,但 必須明白该裝置具有許多其他的可能應用。例如,可將其 用於製造整合式光學系統、磁性區域記憶體的導引及偵測 圖案、液晶顯示面板、薄膜磁頭等等。熟知技藝人士應明 白,在此種替代性應用中,任何對本文中術語「主光罩」 、晶圓」、「目標區」或「晶模」的使用,皆應考慮分 別以較普遍的術語「光罩」、「基板」及「目標部份」取 代。 在本文件中所使用的術語「輻射」及「光束」可用來包 含所有型式的電磁輻射,包括紫外線輻射(如波長為365、 248、193、157或126 nm者)和EUV(遠紫外線輻射,如且有 波長範圍5至20㈣者),以及諸如離子束或電子束之類的粒 子束。 現在將參考附圖範例說明本發明的具體實施例,其中: 圖1顯示可實施本發明之一微影投影裝置;以及 圖2顯示具體實施本發明的一對準標記,包含部份放大圖。 在圖中,對應的參考符號表示對應的零件。 圖1顯示一微影投影裝置,其中 』使用依據本發明配置有 12 1253682 五、發明説明(10 A7 B7
该標記的基板。該裝置包括: _ 一輻射乐統Ex、IL用以供應一輻射投影光束pB(如Uv戈 EUV輻射)。在此特定狀況下,該輻射系統也包括一輻射源 LA ; 、 -一第一物件台(光罩台)MT,具有支撐光罩MA(例如一主 光罩)的一光罩支架,並與第一定位構件連接以相對於項目 PL將光罩精確定位; -一第二物件台(基板台)WT,具有支撐基板w(例如一塗佈 了光刻膠的矽晶圓)的一基板支架,並與第二定位構件連接 以相對於項目PL將基板精確定位;以及 -一投影系統(「透鏡」)PL(例如一衍射或反折射系統、一 鏡面群組或一場偏向器(field deflect〇rs)陣列)以將光罩MA 的一受照射部份成像於基板W的目標部份c(例如包含一或 多個晶模)上。 如此處所描述,該裝置屬一透射型式(即具有一透射光罩) 。然而,一般而言,它亦可屬一(例如)反射型式(具有一反 射光罩)。或者,該裝置可引用另一種圖案化構件,例如一 上述型式的可程式化鏡面陣列。 轄射源LA(例如一 HG燈、一準分子雷射、在磁帶環 (storage ring)或同步加速器中環繞於一電子光束路徑周圍之 波動器(undulator))產生一輻射光束。此光束直接地或在穿 過調節構件(諸如一光束擴張器Εχ)之後,被注入一照射系 統(照射器)IL中。照射器IL可包含調整構件八“以設定光束 中強度分佈的外徑向範圍及/或内徑向範圍(一般分別稱為 -13 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公f)
裝 訂
k 1253682 A7 ______ B7 五、發明説明(11 ) σ-外及σ-内)。另外,它一般會包括其他數種元件,諸如一 整合器IN和一聚光鏡c〇。如此,照射於光罩ΜΑ上的光束 ΡΒ在其斷面中即具有一所需的一致性和亮度分佈。 於圖1中應注意的是:輻射源LA可位於微影投影裝置的外 殼中(通常當輻射源LA是一(例如)水銀燈時,即是如此),但 它亦可與微影投影裝置距離遙遠,其所產生之輻射光束被 導入裝置中(例如依靠適當導引鏡面之助),當輻射源[八為 一準分子雷射時,通常是後面這種狀況。本發明及申請專 利範圍包含此兩種狀況。 光束PB隨後截擊上支撐於光罩台MT上的光罩“八。通過 光罩MA後,光束PB再穿過透鏡PL,此透鏡將光束抑聚焦 於基板W的一目標部份C上。經由第二定位構件(以及干涉 測定(interferometric measuring)構件IF)的幫助,可精確移 動基板台WT ,(例如)以在光束1>6的路徑上定位不同的目標 部份C。同樣地,可用第一定位構件以相關於光束ρβ的路 徑精確定位光罩MA,例如,自光罩庫機械性地取出光罩 MA之後’或在掃描當中。一般而言,目標台町、wT的移 動是靠一長行程模組(粗略定位)和一短行程模組(精細定位) 的幫助實現的,此二者皆未明確標示於圖丨中。然而,若在 晶圓步進機的狀況中(相對於步進掃描裝置),光罩台MT可 能僅連接-短行程之傳動裝置(actuat〇r),或為固定J 上述裝置可用於兩種不同模式中: 1.在步進模式中’光罩台Μτ實質上保持固定,整個 影像在-趟(即-P4)當中對目標部份c投影完成。接著基板 -14- 1253682 A7 _____ B7 五、發明説明(12 ) 台1丁向\及/或y方向移動,使光束PB能照射另一目標部份 C ; 2 ·在掃描模式中,實質上適用相同的狀況,但特定目標部 份C並非於單一「一閃」中曝光。光罩台MT卻可在一特定 方向(所謂的「掃描方向」,例如y方向)以一速度v移動,使 投影光束PB掃描通過一光罩影像,同時基板台WT則與之同 向或反向以速度V = Mv移動,其中Μ為透鏡PL的放大倍率 (典型地,M=l/4或1/5)。如此,可曝光一相對大區域的目標 部份C而不需犧牲解析度。 為對準目的,在光罩ΜΑ中配置了標記(Mi和汹2)。可透過 投影系統PL直接或間接地將這些標記(^^和m2)與基板w中 , 的標記(Pl和P2)對準。在此對準時,將獲得透過投影系統 PL投影於基板W上的該影像c之位置的資訊。此係必要條件 ’以確保藉不同光罩曝光的不同層能互相正確定位。因此 ,在每層的曝光之前,必須將光罩MA中的影像對準相同的 基板標記(P i和p2)。 圖2顯示依據本發明的一基板對準標記。此一對準標記可 包括四個子光栅Pl a、Pi b、Pi c和Pi d,其中子光概ι^和 pud係作為X方向的對準,而子光栅則係作為¥方向 的對準。這些子光栅可具有(例如)16 μπι的光柵週期。每一 子光柵的光柵週期皆可不同,且子光栅的尺寸可達2〇〇* 200㈣。每一子光柵皆包括高反射區3以及低反射區卜 如放大圖中所示1高反射區3係由許多正方形反射區段 所組合形成,每一正方形皆在第一及第二方向上重複,且 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) -15- 1253682 A7 B7 五、發明説明(13 ) ”四邊與4第一及第二方向平行。如圖中所示,有兩種正 方形表面·大正方形23和小正方形25,他們重複出現以構 成反射區3。這些正方形的大小係比得上光罩曝光於基板上 結構的大小’且亦可使用更多種大小的正方形。在該標記 的反射區中的正方形可比得上的大小以及曝光的結構較有 好處’因在此狀況下以曝光結構的衍射係類似於以標記的 反射區的衍射。此種類似之衍射的一項優點在於:以類似 衍射方式產生的光束將會以類似光學路徑穿過該投影系統 ,因此將遭遇投影系統中相同的像差問題。對該對準標記 和所要曝光的結構而言,此類像差問題產生的位置差異類 似,故將產生對準較佳的定位。其正方形大小可在〇 〇5到 0.5 μηι的範圍。 本發明亦可用於適合量測覆蓋層的標記中,此時該標記 的形式可為一大正方形。此時該正方形將包含大量的較小 正方形,其大小係比得上所要曝光結構的大小。 或者,亦可在該微影裝置中的該投影系統pL之瞳孔平面 上,量測一特定結構中的不同衍射等級的位置。在瞳孔平 面中的位置能透露出該特定結構中出現的衍射量的資訊。 然後,亦可量測一對準標記之瞳孔平面中不同衍射等級的 位置,並移動該對準標記的位置,使該對準標記之瞳孔平 面中不同衍射等級的位置與將曝光結構變.得類似。亦可利 用模擬軟體以獲得一標記,其能像該微影投影裝置中將曝 光的結構一般,衍射至該瞳孔平面的類似位置。同樣地, 該標記的反射區將類似於該微影投影裝置中將曝光的結構
裝 訂
16 1253682 A7 B7 五、發明説明 一般被衍射’並將遭遇投影系統中相同的像差問題,產生 相同的位置差異,以及較佳的對準位置。 已如上述加以說明,應明 法完成。本發明並不受本 雖然本發明的特定具體實施例 瞭本發明可以上述以外的其他方 說明所限制。 -17- 1253682 A7 B7 五、發明説明(15 ) 圖中 元件 參考數字清單 1 低反射區 3 反射區 23 大正方形表面 25 小正方形表面 AM 調整構件 C 目標部份 CO 聚光鏡 Ex 輻射系統 IF 干涉測定構件 IL 照射系統 IN 整合器 LA 輻射源 Ml、 M2 標記 MA 光罩 MT 光罩台 PI、 P2 標記 Pi, a 子光柵 Pl,b 子光柵 Pl,〇 子光柵 Pl,d 子光糖 PB 投影光束 PL 投影系統 W 基板 WT 基板台 -18 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)

Claims (1)

  1. A B c D Ι253682ι〇5ΐ3號專利申請案 中文申請專利範圍替換本(95年2月) 六、申請專利範圍 (y说峙 一一一一一一一一 ., · · 、.* i r" i ,,—:' Ί1 f: ‘ ! 1· 一種基板,在覆蓋該基板上的實質透射處理層中備有一 對準標記,該標記包括: -至少一相對鬲反射區,用以反射一幸I射對準光束的 輻射,以及 -相對低反射區’用以反射該輻射光束的較少輻射; 其特徵為:該高反射區係沿著第一及第二方向被分割, 二方向實質上係互相垂直,故該高反射區係顯著地包括 矩形的區段。 2 ·如申請專利範圍第1項之基板,其中某些矩形區段與其他 矩形區段的大小不同。 3.如申請專利範圍第1或2項之基板,其中該矩形區段為正 方形。 4·如申請專利範圍第1或2項之基板,其中該高反射區分割 的區段之大小與形式係實質上等於處理層中曝光的形成 積體電路的特徵之大小與形式。 5.如申請專利範圍第1項之基板,其中該對準標記之高反射 區形成一個光栅。 6·如申請專利範圍第1項之基板,其中該高反射區包括一正 方形,前述矩形區段即配置於該等正方形區段中。 7 ·如申明專利範圍第1項之基板,其中該低反射區之反射率 係介於0至10%的範圍。 8·如申凊專利範圍第1項之基板,其中該高反射區之反射率 係介於50至1〇〇%的範圍。 9· 一種包含一圖案之光罩,用以曝光如申請專利範圍第工項 Ϊ紙張尺度適财® 格(21G X 297公釐).-*---- 1253682
    對罕標記。 10 種设計光軍的方沐 影裝置中的以將光罩圖案投影於—微影投 該對準標記包:相該光罩至少包含一個對準標記, 包括以下步驟對'反射區和相對低反射區’該方法 該微影投影中至少-結構之不同衍射等級,在 置之奴影系統的瞳孔平面中的位置; 對準::::二標記之高反射區的適當分割數,以使該 該至 ^ U冓之不同衍射等級相同之位置;以及 -改㈣光罩圖案中的對準標記的圖案, 定的適當分割方式執、所决 如中請專利範” 1G項之方法,其中該 用模擬軟體執行。 驟係利 12· 第10或11項之方法,進-步包括將所設 Θ案版現於一微影投影裝置的光罩中之步驟。 種電腦,讀取媒體,其所包括之一電腦程式編瑪構件 電細中執行時,能指示該電腦系統實施如 範圍第10或11項之方法。 甲明專利 14. -種裝置之製造方法,包括下列步驟: 、-在-基板台上提供包括對準標記之—基板,這 準標f在至少部份覆蓋一層輻射敏感材料的一透射層 包括高反射與低反射區; 曰 -利用一輻射對準光束來使該對準標記與一參考標記 -2 - 1253682 A BCD
    申請專利範圍 對準 利用一輕射系統提供一輻射投影光束; 及 利用圖案化構件賦予投影光束一圖案式之斷面;以 將圖案化之輪射光束投影至輻射敏感材料層之一 標部份,其特徵為:該高反射區係沿著第一及第二方向 :皮刀割一方向實質上係互相垂直,故該高反射區係顯 著地包括矩形的區段。 -種根射請專利範圍第14項之方法所製造的裝置。 -3- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
TW091110513A 2001-05-23 2002-05-20 Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby TWI253682B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP01201956 2001-05-23

Publications (1)

Publication Number Publication Date
TWI253682B true TWI253682B (en) 2006-04-21

Family

ID=8180364

Family Applications (2)

Application Number Title Priority Date Filing Date
TW091110510A TW536659B (en) 2001-05-23 2002-05-20 Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby
TW091110513A TWI253682B (en) 2001-05-23 2002-05-20 Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW091110510A TW536659B (en) 2001-05-23 2002-05-20 Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby

Country Status (4)

Country Link
US (6) US6858948B2 (zh)
JP (3) JP4342155B2 (zh)
KR (2) KR100583694B1 (zh)
TW (2) TW536659B (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) * 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
TW536659B (en) * 2001-05-23 2003-06-11 Asml Netherlands Bv Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby
JP4222926B2 (ja) 2002-09-20 2009-02-12 エーエスエムエル ネザーランズ ビー.ブイ. デバイス検査
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
JP4007231B2 (ja) * 2003-04-01 2007-11-14 ソニー株式会社 マーク検出方法および露光方法
WO2004090979A2 (en) * 2003-04-08 2004-10-21 Aoti Operating Company, Inc Overlay metrology mark
JP4412922B2 (ja) * 2003-06-27 2010-02-10 株式会社ルネサステクノロジ 半導体装置
SG108975A1 (en) 2003-07-11 2005-02-28 Asml Netherlands Bv Marker structure for alignment or overlay to correct pattern induced displacement, mask pattern for defining such a marker structure and lithographic projection apparatus using such a mask pattern
US7477403B2 (en) * 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
US20060092399A1 (en) * 2004-10-29 2006-05-04 Asml Netherlands B.V. Lithographic apparatus, a control system for controlling a lithographic apparatus, and a device manufacturing method
US7553611B2 (en) 2005-03-31 2009-06-30 Sandisk 3D Llc Masking of repeated overlay and alignment marks to allow reuse of photomasks in a vertical structure
US7224437B2 (en) 2005-05-31 2007-05-29 Invarium, Inc Method for measuring and verifying stepper illumination
JP4290177B2 (ja) * 2005-06-08 2009-07-01 キヤノン株式会社 モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法
KR100612410B1 (ko) * 2005-08-01 2006-08-16 나노메트릭스코리아 주식회사 오버레이 키, 이를 이용한 오버레이 측정방법 및 측정장치
US7687925B2 (en) 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
US7863763B2 (en) * 2005-11-22 2011-01-04 Asml Netherlands B.V. Binary sinusoidal sub-wavelength gratings as alignment marks
KR100699109B1 (ko) 2005-12-29 2007-03-21 동부일렉트로닉스 주식회사 반도체 제조용 노광장비의 정렬도 측정마크 및 측정방법
CN100499069C (zh) * 2006-01-13 2009-06-10 中芯国际集成电路制造(上海)有限公司 使用所选掩模的双大马士革铜工艺
JP2007208081A (ja) * 2006-02-02 2007-08-16 Oki Electric Ind Co Ltd アラインメントマーク、合わせマーク及び半導体装置の製造方法
US7898662B2 (en) 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2008007173A1 (en) * 2006-07-06 2008-01-17 Freescale Semiconductor, Inc. Wafer and method of forming alignment markers
US7523439B2 (en) * 2006-07-11 2009-04-21 Tokyo Electron Limited Determining position accuracy of double exposure lithography using optical metrology
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US8278770B2 (en) * 2007-11-26 2012-10-02 Macronix International Co., Ltd. Overlay mark
KR101579872B1 (ko) 2008-04-29 2015-12-24 삼성디스플레이 주식회사 전사 기판, 이의 제조방법 및 유기 전계 발광소자의제조방법
NL2003292A (en) * 2008-09-08 2010-03-15 Asml Netherlands Bv A substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus.
JP5126370B2 (ja) * 2008-12-16 2013-01-23 株式会社村田製作所 回路モジュール
NL2004297A (en) 2009-03-20 2010-09-21 Asml Holding Nv Improving alignment target contrast in a lithographic double patterning process.
NL2004365A (en) * 2009-04-10 2010-10-12 Asml Holding Nv Method and system for increasing alignment target contrast.
US20120049186A1 (en) * 2010-08-31 2012-03-01 Li Calvin K Semiconductor structures
WO2012144904A2 (en) 2011-04-22 2012-10-26 Mapper Lithography Ip B.V. Position determination in a lithography system using a substrate having a partially reflective position mark
TWI571707B (zh) * 2011-04-22 2017-02-21 瑪波微影Ip公司 用於處理諸如晶圓的標靶的微影系統,用於操作用於處理諸如晶圓的標靶的微影系統的方法,以及使用在此種微影系統的基板
JP5932023B2 (ja) 2011-05-13 2016-06-08 マッパー・リソグラフィー・アイピー・ビー.ブイ. ターゲットの少なくとも一部を処理するためのリソグラフィシステム
US9519224B2 (en) * 2011-10-20 2016-12-13 Asml Netherlands B.V. Lithographic apparatus and method
TWI648515B (zh) * 2013-11-15 2019-01-21 美商克萊譚克公司 計量目標及其計量量測、目標設計檔案、計量方法及以電腦為基礎之設備
KR101693498B1 (ko) 2015-06-17 2017-01-17 주식회사 옵티레이 노광 장치에서의 카메라 조명 및 제어 방법
US10451412B2 (en) * 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10514597B2 (en) * 2016-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with both transmission-type and reflective-type overlay marks and method of fabricating the same
US10818606B1 (en) * 2019-04-02 2020-10-27 Vanguard International Semiconductor Corporation Alignment mark patterns and wafer structures comprising the same
KR102421290B1 (ko) * 2019-09-27 2022-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 정렬 마크를 형성하기 위한 장치 및 방법
US11270950B2 (en) 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming alignment marks
WO2022087954A1 (zh) * 2020-10-29 2022-05-05 京东方科技集团股份有限公司 阵列基板及其制作方法、显示面板和显示装置

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8600639A (nl) 1986-03-12 1987-10-01 Asm Lithography Bv Werkwijze voor het ten opzichte van elkaar uitrichten van een masker en een substraat en inrichting voor het uitvoeren van de werkwijze.
JPH01169926A (ja) * 1987-12-24 1989-07-05 Toshiba Corp アライメント方法
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JPH02246314A (ja) 1989-03-20 1990-10-02 Fujitsu Ltd パターン作成方法
US5151750A (en) * 1989-04-14 1992-09-29 Nikon Corporation Alignment apparatus
NL8900991A (nl) 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
NL9000503A (nl) 1990-03-05 1991-10-01 Asm Lithography Bv Apparaat en werkwijze voor het afbeelden van een maskerpatroon op een substraat.
JP2855868B2 (ja) 1990-03-12 1999-02-10 富士通株式会社 レーザトリミング用位置合わせマーク、半導体装置及び半導体装置の製造方法
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
JPH04303915A (ja) 1991-03-29 1992-10-27 Canon Inc 位置合わせ装置、露光装置、及びそれらを用いた半導体素子の製造方法
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP3309865B2 (ja) 1992-10-02 2002-07-29 株式会社ニコン 結像特性計測方法及び該方法で使用されるマスク
JP2595885B2 (ja) * 1993-11-18 1997-04-02 日本電気株式会社 半導体装置およびその製造方法
JPH07249558A (ja) 1994-03-09 1995-09-26 Nikon Corp 位置合わせ方法
JP2650182B2 (ja) 1995-01-17 1997-09-03 ソニー株式会社 位置合せマーク並びに該マークを有する電子装置及びその製造方法
US5702567A (en) 1995-06-01 1997-12-30 Kabushiki Kaisha Toshiba Plurality of photolithographic alignment marks with shape, size and spacing based on circuit pattern features
US6061656A (en) * 1995-10-06 2000-05-09 Pace; Michael Computer-based trading card system and method
JPH09162102A (ja) 1995-12-07 1997-06-20 Mitsubishi Electric Corp アライメントマーク検出方法
JP4055827B2 (ja) * 1996-02-15 2008-03-05 エーエスエムエル ネザーランズ ビー. ブイ. リソグラフィ装置の放射線量決定方法、及び該方法実施用テストマスク及び装置
JP4075966B2 (ja) 1996-03-06 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 差分干渉計システム及びこのシステムを具えたリソグラフステップアンドスキャン装置
JPH09244222A (ja) * 1996-03-08 1997-09-19 Mitsubishi Electric Corp 重ね合わせ誤差測定用レチクル、そのレチクルを用いた重ね合わせ誤差測定方法および重ね合わせ誤差測定マーク
US5700732A (en) * 1996-08-02 1997-12-23 Micron Technology, Inc. Semiconductor wafer, wafer alignment patterns and method of forming wafer alignment patterns
JP3287236B2 (ja) 1996-10-03 2002-06-04 キヤノン株式会社 回折光学素子の製作方法
DE69717975T2 (de) 1996-12-24 2003-05-28 Asml Netherlands B.V., Veldhoven In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
AU2048097A (en) 1997-01-29 1998-08-18 Micronic Laser Systems Ab Method and apparatus for the production of a structure by focused laser radiation on a photosensitively coated substrate
US5898227A (en) 1997-02-18 1999-04-27 International Business Machines Corporation Alignment targets having enhanced contrast
SE509062C2 (sv) 1997-02-28 1998-11-30 Micronic Laser Systems Ab Dataomvandlingsmetod för en laserskrivare med flera strålar för mycket komplexa mikrokolitografiska mönster
KR100544439B1 (ko) * 1997-03-07 2006-06-07 에이에스엠엘 네델란즈 비.브이. 얼라인먼트유니트를갖는리소그래픽투영장치
USRE40043E1 (en) 1997-03-10 2008-02-05 Asml Netherlands B.V. Positioning device having two object holders
US6064486A (en) * 1998-05-21 2000-05-16 Leland Stanford Junior University Systems, methods and computer program products for detecting the position of a new alignment mark on a substrate based on fitting to sample alignment signals
JP2000133576A (ja) 1998-10-28 2000-05-12 Nec Corp 位置ずれ計測マーク及び位置ずれ計測方法
US6037671A (en) * 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
US6093640A (en) 1999-01-11 2000-07-25 Taiwan Semiconductor Manufacturing Company Overlay measurement improvement between damascene metal interconnections
US6277658B1 (en) * 1999-03-29 2001-08-21 Taiwan Semiconductor Manufacturing Company Method for monitoring alignment mark shielding
JP2001044105A (ja) 1999-07-28 2001-02-16 Hitachi Ltd 半導体装置の製造方法
US6342735B1 (en) * 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
TW588414B (en) 2000-06-08 2004-05-21 Toshiba Corp Alignment method, overlap inspecting method and mask
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
TW536659B (en) * 2001-05-23 2003-06-11 Asml Netherlands Bv Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby
US6803668B2 (en) * 2002-11-22 2004-10-12 International Business Machines Corporation Process-robust alignment mark structure for semiconductor wafers

Also Published As

Publication number Publication date
US20060138682A1 (en) 2006-06-29
US6858948B2 (en) 2005-02-22
KR20020090134A (ko) 2002-11-30
TW536659B (en) 2003-06-11
JP3970106B2 (ja) 2007-09-05
KR100583693B1 (ko) 2006-05-25
US20020182518A1 (en) 2002-12-05
US7759029B2 (en) 2010-07-20
US20090075452A1 (en) 2009-03-19
KR100583694B1 (ko) 2006-05-25
JP2003092257A (ja) 2003-03-28
US6876092B2 (en) 2005-04-05
JP2003007614A (ja) 2003-01-10
JP4563986B2 (ja) 2010-10-20
JP4342155B2 (ja) 2009-10-14
KR20020090133A (ko) 2002-11-30
US7560196B2 (en) 2009-07-14
US20050084772A1 (en) 2005-04-21
US7056805B2 (en) 2006-06-06
US20030020184A1 (en) 2003-01-30
JP2007053407A (ja) 2007-03-01
US20050040545A1 (en) 2005-02-24
US7462548B2 (en) 2008-12-09

Similar Documents

Publication Publication Date Title
TWI253682B (en) Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby
JP4979746B2 (ja) マスク・パターン、マーカ構造、リトグラフ投影装置におけるマーカ構造の提供方法、およびリトグラフ装置におけるマーカ構造の位置関係決定方法
KR102102302B1 (ko) 회절 기반 오버레이 메트롤로지 툴 및 방법
JP4308202B2 (ja) 基板に関する情報を測定する方法及びリソグラフィ装置に使用する基板
EP1403714B1 (en) Lithographic apparatus and a measurement system
KR100571373B1 (ko) 리소그래피장치의 교정방법, 리소그래피장치의 교정에사용하는 마스크, 리소그래피장치, 디바이스제조방법,이것에 의해 제조된 디바이스
JP2009044143A (ja) 光波拡散計測用反射屈折光学システム
KR100549781B1 (ko) 리소그래피투영마스크, 리소그래피투영마스크를 이용한디바이스제조방법 및 그 제조된 디바이스
CN113196177B (zh) 量测传感器、照射系统、和产生具有能够配置的照射斑直径的测量照射的方法
US6891598B2 (en) Lithographic device and method for wafer alignment with reduced tilt sensitivity
TW200842520A (en) Measuring apparatus, projection exposure apparatus having the same, and device manufacturing method
KR100550031B1 (ko) 리소그래피장치, 정렬방법 및 디바이스 제조방법
KR20030080192A (ko) 리소그래피 장치 및 디바이스 제조방법
EP1260870A1 (en) Alignment mark
EP1260869A1 (en) Substrate provided with an alignment mark in a substantially transparent process layer

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees