TW536659B - Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby - Google Patents

Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby Download PDF

Info

Publication number
TW536659B
TW536659B TW091110510A TW91110510A TW536659B TW 536659 B TW536659 B TW 536659B TW 091110510 A TW091110510 A TW 091110510A TW 91110510 A TW91110510 A TW 91110510A TW 536659 B TW536659 B TW 536659B
Authority
TW
Taiwan
Prior art keywords
substrate
alignment
grating
radiation
reflection area
Prior art date
Application number
TW091110510A
Other languages
English (en)
Inventor
Haren Richard Johannes Fra Van
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Application granted granted Critical
Publication of TW536659B publication Critical patent/TW536659B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/975Substrate or mask aligning feature

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Automation & Control Theory (AREA)
  • Multimedia (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

536659
本發明係關於-種基板’在覆蓋該基板上的實質透射處 理層中備有一對準標記’該標記包括·· -相對高反射區,用以反射一輕射對準光束的輕射,以及 -相對低反射區,用以反射該輻射光束的較少輻射。 此基板可於一微影投影裝置中處理,該裝置包括: -一輻射系統,用以提供一輻射投影光束; --支撐結構,用以支撐圖案構件,目案構件則用來根據 所需圖案將投影光束圖案化; -一基板台用以固定一基板;以及 -一投影系統用以將形成圖案的光束投影在該基板的目標 部份上。 此處所用術語「圖案化構件」應廣義解釋為可用以賦予 進入之輻射光束一圖案化之斷面的構件,該圖案化之斷面 係對應於需建立於基板目標部份的一圖案;本文中亦可使 用術語「光閥」。一般而言,該圖案係與建立於目標部份的 裝置的一特別功能層有關,諸如一積體電路或其他裝置(詳 見下文)。此類圖案化構件的例子包括: ‘罩遮罩的概念在微影術中廣為人知,它並包括如 二元式、交替式相位偏移及衰減式相位偏移等遮罩形式 ,以及各種混合的遮罩形式。此種遮罩放在輻射光束中 ,將導致照射在遮罩上的輻射依據遮罩上圖案作選擇性 透射(當於透射遮罩的狀況)或反射(於反射遮罩的狀況) 。在遮罩的狀況中,其支撐結構一般是一遮罩台,其為 確保遮罩被支撐於進入的輻射光束中一理想位置,並於 -4- 536659
需要時可相對於光束移動。 • 一可程式化鏡面陣列。該種裝置的一個例子為一陣列可 定址表面,具有一黏彈性控制層及一反射表面。該種裝 置的基本原理為(例如)反射表面的已定址區域反射入射 光為衍射光,而未定址區域則反射入射光為非衍射光。 利用適*濾鏡可自反射光束中篩檢出該非衍射光,僅擋 下衍射光,如此光束即依陣列可定址表面的定址圖案成 為圖案化。一可程式反射鏡面陣列的另外具體實施例使 用一微小反射鏡面的陣列配置,藉由施加一適當的局部 電場或使用一壓電起動裝置,各個反射鏡面可分別繞一 軸傾斜。再者,鏡面為可定址陣列,使得該定址鏡面以 不同方向反射入射的輻射光束至未定址的鏡面;在此方 法中,根據陣列可定址鏡面的定址圖案形成反射光束圖 案。可使用適當的電子構件,以執行所需的陣列定址。 在上述的兩種狀況下,形成圖案裝置可包括一或多個可 程式反射鏡面陣列。本文所述的反射鏡面陣列的詳細資 料,請參閱(例如)美國專利1;3 5,296,89i&US5,523,i93 及PCT專利申請w〇98/38597&w〇 98/33〇96,此處以提 及方式併入本文。就可程式鏡面陣列而言,該支撐結構 可以(例如)框架或台面方式具體化,且視需要可為固定 或移動式。 可私式L C D陣列。這種構造的實例可於美國專利案號 US '229,872中找到,此處以提及方式併入本文。如上 所述,此種狀況的支撐結構可以(例如)框架或台面方式 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
536659 A7 B7 五 、發明説明(3 具體化’並視需要可為固定或移動式。 基於簡化的目的,本文其餘部份將在特定位置專門探討有 關遮罩及遮罩台的實例,然而,此類實例中所探討的通用 原理應適用於較廣域的圖案化構件中。 微影投影裝置可用於(例如)積體電路(IC)的製造上。在此 種情況中,圖案化構件可產生相關於IC中單一層的電路圖 案,並可將此圖案成像於已塗覆一層對輻射敏感的材料(光 刻膠;resist)之一基板(矽晶圓)上的目標部份(如包括一或多 個晶模(die))。一般而言,單一晶圓可包括眾多相鄰目標部 份所構成之網路,它們將依次由投影系統逐個照射。在本 裝置中,利用遮罩台上的遮罩進行圖案化,可區分成兩種 不同形式的機器。在一種微影投影裝置中,一趟動作將整 個遮罩圖案暴露於目標部份上,讓每一目標部份都照射到 ,此種裝置一般稱為晶圓步進機(wafer stepper)。在另一種 一般稱為步進掃描裝置(step-and-scan apparatus)的可供選擇 裝置中,於投影光束下以一指定參考方向(掃描方向)逐步掃 描遮罩圖案以照射每一目標部份,並同步地與此方向平行 同向或平行反向掃描基板台,因通常此投影系統具有一放 大倍率Μ (通常<1),故掃描基板台的速率v將為掃描遮罩 台速率的Μ倍。有關上述微影裝置的進一步資訊可於(例如 )US 6,046,792中收集到,本文中以提及方式併入。 在使用微影投影裝置的製造方法中,於至少部份由一声 對輻射敏感的材料(光刻膠)覆蓋的基板上映射_圖案(例^ 在一遮罩中)。在此成像步驟之前’基板可經各種程序户理 -6 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) " '------
裝 訂
536659 一 A7 ----^__ B7_ 五、發明説明~一 -- 例如打底(priming)、光刻膠塗佈及軟烘(s〇ft心。)。曝光 之後’該基板可接受其他處理,例如曝光後烘乾(p〇s卜 posure bake ’ PEB)、顯影、硬烘(hard bake)及測量/檢查 成像之特徵。這-系列的程序係用來作為一基礎,以圖案 化一裝置(如1C)的單一層。接著,此一圖案化層可再經過各 種處理,例如㈣、離子植人(摻雜)、金屬電鍍、氧化、化 學機械拋光等,所有步驟皆為各層表面處理所需。如果需 f許多層,則整個程序,或其一變化步驟必須每層重覆。 取後,在基板(晶圓)上將呈現一系列的裝置。接著,將會利 用一種諸如切割(dicmg)或鋸切(sawmg)的技術分割這些裝 置,之後可將個別裝置裝載於載架(camer)上、連接至接腳 針(pm)等。有關此種程序的進一步資訊可由(例如)peter Zant所著「微晶片製造:半導體處理指南 Fabrication. A Practical Guide to Semiconductor Processing, Third Edition, McGraw ΗΠ1 Pubhshmg Co.? 1997, ISBN 0-07-0672)0-4)」一書中獲得,本文中以提及方式併入。 為簡化起見,以下稱投影系統為「透鏡」;不過,這個 術語必須作廣義的解釋以包括各種投影系統,例如,包含 折射光學、反射光學及反折射的系統。該輻射系統亦可包 含根據任何設計類型操作的組件,用以引導、塑造或控制 輻射的投影光束,且該組件以下也可以統稱或獨稱為「透 鏡」。另外,此微影裝置可能是一種具有兩個以上基板台 (及/或兩個以上遮罩台)的形式。在此種「多平台」裝置中 ,可以平行使用額外台面,或在一或多個台面上進行準備 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 五、發明説明(5 步驟,而其他一或多個台面則用於曝光。雙平台微影裝置 在(例如)US 1 2,969,441及|〇 98M〇791中均有說明,此處ς 提及方式併入本文中。 本發明係關於一種微影投影裝置之對準系統中使用的對 準標記’用以使圖案化構件中的圖案對準基板。此種對準 不統(例如.國際專利申請案號wo 98/39689中所述之間接 偏離軸線對準系統,此處以提及方式併人本文)係弓丨用 射對準光束,其係由一分離之對準單元所放射,且照射於 -玄基板上之-光柵形式的標記上。該光柵將該對準光束衍 射成數個子光束’相對於該光柵之法線朝不同角度放射。 這些不同的子光束將由該對準單元的一透鏡導引至一平面 的不同位置。可在此平面上配置.各種構件,以進一步將不 同子光束分離。同時’亦將利用該透鏡系統使不同子光束 成像於-參考板上’以形成該標記之一影像。可於該參考 板中配置一參考標記’並於該參考標記後方配置-對輕射 敏感m該偵測器的輸出信號將決定於該基板標記 和該參考標記影像重疊的程度。如此,即可量測基板上標 記與對準單元中參考標記的對準程度,並予以最佳化。該 偵測器可包括分離的個別偵測器,以量測不同狀況下的強 度及對準位置。為完成對準,必須使對準單元中的參考標 3己與第一茶考標記對準,例如:配置於該基板台以配合該 對準單元者。接著’即可利用曝光光線將此第二參考標記 與該遮罩中的一標fp制淮 ^ ”己對準。為此目的,可引用美國專利申 本紙張尺度適用中國國家標準(cns) 29ΐ^Τ -8 - 1 月案3虎US 5,144 363中所;卡^ 2 ,T所述的一裝置與方法,此處以提及方 536659 A7
而’要控制該層的厚度而避免干涉卻極困難.。 本發明的一項目標即為提供一種標記,其能用於一透射 處理層中,且不致受穿透該標記並在基板表面反射的光線 弓丨起的信號強度衰減的困擾。 本發明之此項及其他目標係由一種基板所達成,在覆蓋 該基板上的實質透射處理層中備有一對準標記,該標ϋ 括: •相對高反射區,用以反射一輻射對準光束的輻射,以及 -相對低反射區,用以反射該對準光束較少量之輻射;其 特徵為:該相對低反射區包括散射結構,用以散射及吸收 5亥對準光束之輻射。 經發現:在低反射區使用散射元件,能使對準光束散射 開’故有較少光線穿透該透射層而在該基板表面反射並回 :該標記以引起與高反射區所反射之對準光束的干涉。該 散射結構可於曝光該高反射區的同一曝光步驟中配置。若 該對準標記的散射結構係以一第一方向形成的光栅線條右 則該相對高反射區即可以與該第一方向垂直的光柵線條來 配置。用以偵測該標記之位置的該對準系统,會對由該相 對高反射區形成的光柵線條方向敏感,因光線將主要:鱼 該線條垂直的方向衍射。輯準系統將不會對由該散射二 構所衍射‘的輻射敏感,因該光線所衍射的方向會與由該相° 對高反射區所衍射輻射之方向垂直。用作散射結構的= 柵之光柵週期可小於該相對高反射區的光栅之光柵週期’ 使該相對高反射區所衍射的輻射以對該標記之法線較小之 -10- 五、發明説明(8 角度何射。該對準系統可對與該標記之法線以某—特定角 ㈣敏感’故其僅對由該相對高反射區所衍射的 幸S射敏感。 根據本發明的另_ g姓 負扣试,美供一裝置製造方法,JL步 驟包括: 〃 在基板台上提供-基板,其在至少部份覆蓋一層輕射 敏感材料的一透射層中包括對準標記; H輻射對準光束將包括相對高和相對低反射區的對 準標記對準一參考標記。 -利用一輻射系統提供一輻射投影光束; -利用圖案化構件賦予投影羌束一圖案式之斷面;以及 -將該圖案化輻射光束投影至該層輻射敏感材料之一目標 部份上,其特徵為:該相對低反射區包括散射結構,以散 射及吸收該對準光束。 雖然本文提供使用本發明的裝置製造IC的特定參考,但 必須明白該裝置具有許多其他的可能應用。例如,可將其 用於製造整合式光學系統、磁性區域記憶體的導引及偵測 圖案、液晶顯示面板、薄膜磁頭等等。熟知技藝人士應明 白’在此種替代性應用中,任何對本文中術語「主光罩」 曰曰圓」或「晶模」的使用,皆應考慮分別以較普遍的 術語「遮罩」'「基板」及「目標部份」取代。 在本文件中所使用的術語「輻射」及Γ光束」可用來包 含所有型式的電磁輻射,包括紫外線輻射(如波長為365、 248、193、157或126 nm者)和EUV(遠紫外線輕射,如具有 -11 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 536659 五 、發明説明(9 子束fe圍)至20 11111者),以及諸如離子束或電子束之類的粒 現在將苓考附圖範例說明本發明的具體實施例,其中·· 圖1頭不可實施本發明之一微影投影裝置; 圖2顯不可實施本發明之一對準標記; Θ ”員示圖2中依據本發明之第一項具體實施例的對準標 έ己之部份子光柵的俯視圖; 圖”’、員示内砍於基板上之透射層中的對準標記的斷面圖; 二圖5顯示圖2中依據本發明之第二項具體實施例的對準標 圯之部份子光柵的俯視圖;以及 圖6 ”、員不依據本發明之第三項具體實施例的一標記之反射 區的細部俯視圖。 在Θ中對應的參考符號表示對應的部件。 圖1顯不一微影投影裝置,其中可使用依據本發明配置有 该標記的基板。該裝置包括: •一輻射系統Ex、IL用於供應一輻射投影光束ΡΒ(如υν* 幸田射)。在此特定狀況下,該輻射系統也包括一輻射源 L A, •,一第一物件台(遮罩台)MT,具有支撐遮罩ΜΑ(例如一主 光罩)的遮罩支架,並與第一定位構件連接以相對於項目 PL將遮罩精確定位; 、、 、,第一物件台(基板台)WT,具有支撐基板w(例如一塗佈 了光X丨膠的矽晶圓)的一基板支架,並與第二定位構件連接 以相對於項目PL將基板精確定位;以及 -12- 本紙張尺度適财平(CNS) A4規格(210X297公釐)
五、發明説明(1〇 ) 匕鏡」)PL(例如一衍射或反折射系統、一
輻射源LA(例如一 HG燈、一準分子雷射 在磁帶環 •一投影糸統( 鏡面群組或<j (storage nng)或同步加速器中環繞於一電子光束路徑周圍之 波動為(undulator))產生一輻射光束。此光束直接地或在穿 過調節構件(諸如一光束擴張器Εχ)之後,被注入一照射系 統(照射g)IL中。照射器比可包含調整構件八^^以設定光束 中亮度分佈的外徑向範圍及/或内徑向範圍(一般分別稱為 σ -外及σ -内)。另外,它一般會包括其他數種元件,諸如 一整合器IN和一聚光鏡C〇。如此,照射於遮罩ΜΑ上的光 束ΡΒ在其斷面中即具有一所需的一致性和亮度分佈。 於圖1中應注意的是:輻射源LA可位於微影投影裝置的外 殼中(通常當輻射源L Α是一(例如)水銀燈時,即是如此),但 匕亦可與Μ影投影裝置距離遙遠,其所產生之輕射光束被 V入裝置中(例如依罪適當導引鏡面之助),當輕射源[α為 一準分子雷射時,通常是後面這種狀況。本發明及申請專 利範圍包含此兩種狀況。 光束PB隨後載擊上支撐於遮罩台Μτ上的遮罩…八。通過 遮罩MA後,光束PB再穿過透鏡PL,此透鏡將光束pb聚焦 -13- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 五、發明説明(11 ) 於基板W的一目標部份c上。經由第二定位構件(以及干涉 測疋(lnterferometnc measunng)構件IF)的幫助,可精確移 動基板台WT’ (例如)以在光束叩的路徑上 部份C。同樣地,可用第一 」幻 广 疋位構件以相關於光束ΡΒ的路 從精確疋位遮罩ΜΑ,例如,自遮罩庫機械性地 ΜΑ之後’或在掃描當中。-般而言,目標台一的: 動是靠一長行程模組(粗略定位)和一短行程模組(精細定 的幫助實現的,此二者皆未明確標示於圖而,若在 晶圓步進機的狀況中(相對於步進掃描裝置),遮罩台町可 能僅連接-短行程之傳動裝置(aetua㈣,或為固定。 上述裝置可用於兩種不同模式中: \在步進模式中,遮罩台町實質上保持固定,整個遮罩 影像在一趟(即一閃)當中對目標部份C投影完成 台及/心方向移動,使光束叩能照射另一目_分反c 2:在掃描模式中,實f上適用相同的狀況,但特定目標部 份C亚非於單一「一閃」中曝光。遮罩台町卻可在一特定 方向(所謂的「掃描方向」,例如y方向)以-速度v移動,使 投影光束PB掃描通過—遮罩影像,同時基板台_彳盘之同 向或反向以速度V=Mv移動’其中M為透鏡pL的放大倍率 (典型地’ M=l/4或1/5)。如此,可曝光一相對大區域的目標 部份C而不需犧牲解析度。 不 為對準目的,在遮罩MA中配置了標記(Mi和M2)。可透過 技々π統PL直接或間接地將這些標記和吣)與基板…中 -14- 本纸張尺度適财目®家標準(CNS) A4規格(21〇X297公爱) 536659 五、發明説明( 的標記(Pi和P2)對準。為
.α ^ 在此對準日寸,將獲得透過投影系統PL 才又衫於基板W上的該旦彡你 ^ ★ 扪亥衫像C之位置的資訊。此係必要條件, 以確保藉不同遮罩曝去 如卜 *先的不同層能互相正確定位。因此, 的曝光之則’必須將遮罩Μ Α中的影像對準相同的基 板標記(P1和P 2 )。 圖2顯示可實施本發明的一先前技藝之基板對準標記。此 :购記可包括四個子光〜P1,b、pl〜 …拇〜匕和心係作為乂方向的對準’而子光柵卩^和卩^則 丁作為Y方向的對準。這些子光柵可具有(例如,的光 ㈣期ϋ光柵的光栅週期皆可不同’且子光柵的尺 寸可達 * 2QQ _。每—子光栅皆包括相對高反射區3以 及相對低反射區1。 圖3為圖2之對準標記的子光栅之-部份,諸如Pl,a的俯視 圖:其中顯示沿著¥方向以-光栅週期形成光柵的四個高反 射區〇 α &二個低反射區i。言亥低反射區工係包含一散射結 構具有-光柵的形式,纟光拇週期係沿著χ方向,並有一 尺寸(例如:小於2 _的週期,諸如丨ι4 μιη)。在低反射 區中散射結構的功能為將人射於該低反射區的對準光束的 _射散射和吸收’ W防㈣穿透該低反射區,自該基板表 面反射,1穿透回到該標記上而與反射自該高反射區的對 準光束部份發生干涉,造成該對準信號的干擾。低反射區 光柵的方向係選擇為實質上垂直於高反射區光柵的方向, 使衍射自該低反射區的對準光束部份會以不同於衍射自該 裝 訂 536659 ^ A7 ____ B7 五、發明説^7^—) " " — 南反射區的對準光束之方向衍射。自該低反射區衍射的該 對準光束部份不會到達對準系統,因該對準系統係僅對特 疋方向的衍射敏感。因此,來自該低反射區的衍射將不致 干擾到a亥對準系統。同時,該低反射區中光柵的週期係小 於a亥冋反射區中光柵的週期。因此,其相對於該標記之法 線的衍射角度亦較大’故衍射自該低反射區的輻射干擾該 對準糸統的機會亦進一步降至最低。該高反射區的反射率 可為5〇至100%,而該低反射區的反射率則可為〇至1〇%。例 如:一銅雙重鑲嵌層的反射率可為4%。 圖4顯示一内嵌於基板w上的透射層丨丨中的對準標記,沿 圖3中的線條7所見的斷面圖。該基板,上覆蓋了五個處理 層(9、11、13、15和17),其在後續對該基板w的曝光中受 到圖案化光束的照射。其中一層(11)係配置有一對準標記 ’其包含高反射區3與低反射區1。當這些層(9、11、13、 1 :)和17)為透射層,且在該低反射區1中無散射結構配置的 狀況時,來自對準系統對準光束的輻射將穿透低反射區1並 在基板W的表面反射。然後,自該表面反射的輻射將再度 到達该對準標記,並將與直接在該標記表面反射的部份對 準光束產生干涉。依據本發明,配置於該低反射區的散射 特徵將使該基板表面反射的影響降至最低。 具體實施例2 圖5顯示圖2中依據本發明之第二項具體實施例的對準標 5己之部份子光柵的俯視圖。圖中顯示兩個高反射區3以及一 個低反射區5。此第二項具體實施例中的大部份項目與第一 -16- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 536659
項具體實施例相同’其_項差異在於:形成高反射區3的光 栅之線條係分割成兩部份,在高反射區3的中央有—低反射 區21。該低反射區21係與形成高反射區3的光柵線條平行。 此額外㈣反射區能增加高反射區所形成光柵的衍射對準 光束更南的等級,如丨th目丨| ^· 士 ^丨 _ 則罕乂有利’因更高的等級能提供更 佳的標記位置資訊。如圖所示’高反射區增一個低反射區 21在中央將其分剎為二。高反射區亦可分割成三、四或五 個部份’ W改善衍射使其具有較高的等級,並從而改善對 準的準確度。 具體貫施例3 裝 訂 圖6顯示依據本發明之第三項具體實施例的一標記之高反 射區的部份細部俯視圖。該高反射區係由許多正方形表面 所組合形A,每一正方形皆在第一及第二方向上重複,且 其四邊與該第一及第二方向平行。如此圖中所示,有兩種 方形表面·大正方形23和小正方形25,他們重複出現以 構成问反射區3。a些正方形白勺大小係比得上遮罩曝光於基 $上結構的大小’ 1亦可有兩種以上的〖方形大小。在該 丁己的问反射區中的正方形可比得上的大小以及曝光的結 幸乂有好處因以曝光結構的衍射係類似於以標記的高反 射區的衍射。此種類似之衍射的一項優點在於:以類似衍 射方式產生的光束將會以類似光學路徑穿過該投影系統,. 因此將遭遇投影系統中相同的像差問胃。對該對準標記和 所要曝光的結構而f,此類像差問題產生的位置差異類似 以才產生對準較佳的定位。其正方形大小可在〇 〇5到〇 $ _ -17- 536659 五、發明説明(π 的範圍。必須明瞭··第三項具體實施例 的低反射區中不使用任何散射結構 〜對準標記 施於圖2的對準標記 a &,(例如)直接實 量測覆蓋層的標記中此本發明亦可用於適合 。此時該正方形將包含1=:的形式可為一大正方形 上所要曝光結構的大小。χ正方形’其大小係比得 上或ί測二=·微影裝置中的該投影系統-之瞳孔平面 里 寸疋結構中的不同衍射等級的位置。在瞳孔平 面中的位置能透露出兮^士 °亥捋疋結構中出現的衍射量的資訊。 Μ ’亦可量測一對準標記之瞳孔平面中不同衍射等級的 位置1 f夕動该對準標記的位置’使該對準標記之瞳孔平 面:不同衍射等級的位置與將曝光結構變得類似。亦可利 _擬HWf得—標記’其能像該微影投影裝置中將曝 訂 光的結構一般,衍射至該瞳孔平面的類似位置。同樣地, 。玄^ δ己的局反射區將類似於該微影投影裝置中將曝光的結 構一般被竹射’並將遭遇投影系統中相同的像差問題,產 生相同的位置差異,以及較佳的對準位置。 雖然本發明的特定具體實施例已如上述加以說明,應明 瞭本發明可以上述以外的其他方法完成。本發明並不受本 說明所限制。 -18- 本紙張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) 536659 A7 B7 五、發明説明(16 ) 圖中元件參考數字清單 1 低反射區 3 r%反射區 5 散射結構 7 斷面線 9 處理層 11 處理層 13 處理層 15 處理層 17 處理層 21 低反射區 23 大正方形表面 25 小正方形表面 AM 調整構件 C 目標部份 CO 聚光鏡 Ex 輻射系統 IF 干涉測定構件 IL 照射系統 IN 整合器 LA 幸昌射源 Ml、M2 標記 MA 遮罩 MT 遮罩台 裝 訂
線 -19-本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 536659 A7 B7 五、發明説明(17 ) PI、P2 標記 Pi. a 子光柵 Pi, b 子光柵 Pl; c 子光柵 Pl; d 子光栅 PB 投影光束 PL 投影系統 W 基板 WT 基板台 -20- 裝 訂
本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)

Claims (1)

  1. 536659
    對準:板,在覆盍該基板上的實質透射處理層中備有一 對早知^己,該標記包括: -:斜高反射區,用以反射一輻射對準光束的輕射,以 -:對低反射區’用以反射該對準光束較少量之輕射· ^徵為:該低反射區包括散射結構,用以散射 收该對準光束之輻射。 2· 士申明專利範圍第1項之基板,其中該對準標記之散射姓 構包括 ~ - 舣町、、,口 第一光栅 3. 4. 6. 8. 申明專利範圍第1或2項之基板,其中該對準標記之高 反射區包括一第二光柵,且該散射結構係位於該第二光 柵的線條之間的空間中。 如申請專利範圍第3項之基板,其中該第一及第二光栅係 一度空間形式,且其間的方向實質上互成垂直。 如:請專利範圍第4項之基板,《中該第一光栅的光柵週 期係小於該第二光柵的光栅週期。 如申請專利範圍第2項之基板,其中該第一光柵的光柵週 期係小於2 μιη。 如申請專利範圍第1項之基板 係介於〇至10%的範圍。 如申請專利範圍第1項之基板,其中該高反射區之反射等 係介於50至1〇〇〇/0的範圍。 如申請專利範圍第1項之基板 區係分割成數個區。 其中該低反射區之反射率 其中該對準標記之高反身
    -21 本紙張尺度適财g @家鮮(CNS) A4規格(21QX297公爱) 536659 A8 B8 C8 -— D8 申請專利範圍 ίο 11. 12. 13. 14. 15. 16. 如申請專利範圍第9 | 4 ^ ^ u弟y貝之基板,其中該對準標記之高反射 區包括具有線條的—第二光栅,且這些線條中至少有一 線條係沿著與該線條平行的方向分割。 如申:青專利範圍第9項之基板,#中該高反射區係沿著第 :及弟二方向被分割’二方向實質上係互相垂直,故該 高反射區係顯著地包括矩形的區。 如申請專利範圍第U項之基板,其中某些矩形區與其他 矩形區的大小不同。 如申請專利範11第ll2iUW之基板,其中該矩形區為正 方形。 如申請專利範圍第9項之基板’丨中該高反射區分割的區 之大小與形式係實質上等於處理層中曝光的行成積體電 路的特徵之大小與形式。 種包3圖案之光罩,用以曝光如申請專利範圍第i項 之對準標記。 ' 一種裝置之製造方法,包括下列步驟: •在一基板台上提供包括對準標記之一基板,這些對準 裇。己在至少部份覆蓋一層輻射敏感材料的一透射層中 包括高反射與低反射區; 利用一輪射對準光束來使該對準標記與一參考標記斜 準; ^ ” -利用一辕射系統提供一輻射投影光束; 利用圖案化構件賦予投影光束一圖案式之斷面;以及 -將該圖案化輻射光束投影至該層輻射敏感材料之一目 -22- 本紙張尺度適财S S家標準(CNS) M規格(2夢撕公羡) 536659 8 8 8 8 ABCD 申請專利範圍 標部份上,其特徵為:該低反射區包括散射結構 散射及吸收該對準光束。 17. —種如申請專利範圍第16項製造的裝置。 以 -23-
    本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
TW091110510A 2001-05-23 2002-05-20 Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby TW536659B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP01201956 2001-05-23

Publications (1)

Publication Number Publication Date
TW536659B true TW536659B (en) 2003-06-11

Family

ID=8180364

Family Applications (2)

Application Number Title Priority Date Filing Date
TW091110510A TW536659B (en) 2001-05-23 2002-05-20 Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby
TW091110513A TWI253682B (en) 2001-05-23 2002-05-20 Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW091110513A TWI253682B (en) 2001-05-23 2002-05-20 Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby

Country Status (4)

Country Link
US (6) US6858948B2 (zh)
JP (3) JP4342155B2 (zh)
KR (2) KR100583693B1 (zh)
TW (2) TW536659B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7982273B2 (en) 2005-03-31 2011-07-19 Sandisk 3D Llc Masking of repeated overlay and alignment marks to allow reuse of photomasks in a vertical structure

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
TW536659B (en) * 2001-05-23 2003-06-11 Asml Netherlands Bv Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby
JP4222926B2 (ja) 2002-09-20 2009-02-12 エーエスエムエル ネザーランズ ビー.ブイ. デバイス検査
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
JP4007231B2 (ja) * 2003-04-01 2007-11-14 ソニー株式会社 マーク検出方法および露光方法
WO2004090979A2 (en) * 2003-04-08 2004-10-21 Aoti Operating Company, Inc Overlay metrology mark
JP4412922B2 (ja) * 2003-06-27 2010-02-10 株式会社ルネサステクノロジ 半導体装置
SG108975A1 (en) 2003-07-11 2005-02-28 Asml Netherlands Bv Marker structure for alignment or overlay to correct pattern induced displacement, mask pattern for defining such a marker structure and lithographic projection apparatus using such a mask pattern
US7477403B2 (en) * 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
US20060092399A1 (en) * 2004-10-29 2006-05-04 Asml Netherlands B.V. Lithographic apparatus, a control system for controlling a lithographic apparatus, and a device manufacturing method
US7224437B2 (en) 2005-05-31 2007-05-29 Invarium, Inc Method for measuring and verifying stepper illumination
JP4290177B2 (ja) * 2005-06-08 2009-07-01 キヤノン株式会社 モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法
KR100612410B1 (ko) * 2005-08-01 2006-08-16 나노메트릭스코리아 주식회사 오버레이 키, 이를 이용한 오버레이 측정방법 및 측정장치
US7687925B2 (en) 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
US7863763B2 (en) * 2005-11-22 2011-01-04 Asml Netherlands B.V. Binary sinusoidal sub-wavelength gratings as alignment marks
KR100699109B1 (ko) 2005-12-29 2007-03-21 동부일렉트로닉스 주식회사 반도체 제조용 노광장비의 정렬도 측정마크 및 측정방법
CN100499069C (zh) * 2006-01-13 2009-06-10 中芯国际集成电路制造(上海)有限公司 使用所选掩模的双大马士革铜工艺
JP2007208081A (ja) * 2006-02-02 2007-08-16 Oki Electric Ind Co Ltd アラインメントマーク、合わせマーク及び半導体装置の製造方法
US7898662B2 (en) 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20090134496A1 (en) * 2006-07-06 2009-05-28 Freescale Semiconductor, Inc. Wafer and method of forming alignment markers
US7523439B2 (en) * 2006-07-11 2009-04-21 Tokyo Electron Limited Determining position accuracy of double exposure lithography using optical metrology
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US8278770B2 (en) * 2007-11-26 2012-10-02 Macronix International Co., Ltd. Overlay mark
KR101579872B1 (ko) 2008-04-29 2015-12-24 삼성디스플레이 주식회사 전사 기판, 이의 제조방법 및 유기 전계 발광소자의제조방법
NL2003292A (en) * 2008-09-08 2010-03-15 Asml Netherlands Bv A substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus.
JP5126370B2 (ja) * 2008-12-16 2013-01-23 株式会社村田製作所 回路モジュール
NL2004297A (en) * 2009-03-20 2010-09-21 Asml Holding Nv Improving alignment target contrast in a lithographic double patterning process.
NL2004365A (en) * 2009-04-10 2010-10-12 Asml Holding Nv Method and system for increasing alignment target contrast.
US20120049186A1 (en) * 2010-08-31 2012-03-01 Li Calvin K Semiconductor structures
KR101780089B1 (ko) 2011-04-22 2017-09-19 마퍼 리쏘그라피 아이피 비.브이. 부분 반사 위치 마크를 갖는 기판을 사용한 리소그래피 시스템에서의 위치 결정
TW201248336A (en) * 2011-04-22 2012-12-01 Mapper Lithography Ip Bv Lithography system for processing a target, such as a wafer, and a method for operating a lithography system for processing a target, such as a wafer
JP5932023B2 (ja) 2011-05-13 2016-06-08 マッパー・リソグラフィー・アイピー・ビー.ブイ. ターゲットの少なくとも一部を処理するためのリソグラフィシステム
JP6084227B2 (ja) * 2011-10-20 2017-02-22 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及び方法
TWI648515B (zh) * 2013-11-15 2019-01-21 美商克萊譚克公司 計量目標及其計量量測、目標設計檔案、計量方法及以電腦為基礎之設備
KR101693498B1 (ko) 2015-06-17 2017-01-17 주식회사 옵티레이 노광 장치에서의 카메라 조명 및 제어 방법
US10451412B2 (en) * 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10514597B2 (en) * 2016-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with both transmission-type and reflective-type overlay marks and method of fabricating the same
US10818606B1 (en) * 2019-04-02 2020-10-27 Vanguard International Semiconductor Corporation Alignment mark patterns and wafer structures comprising the same
KR102421290B1 (ko) * 2019-09-27 2022-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 정렬 마크를 형성하기 위한 장치 및 방법
US11270950B2 (en) 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming alignment marks
CN114762118A (zh) * 2020-10-29 2022-07-15 京东方科技集团股份有限公司 阵列基板及其制作方法、显示面板和显示装置

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8600639A (nl) 1986-03-12 1987-10-01 Asm Lithography Bv Werkwijze voor het ten opzichte van elkaar uitrichten van een masker en een substraat en inrichting voor het uitvoeren van de werkwijze.
JPH01169926A (ja) * 1987-12-24 1989-07-05 Toshiba Corp アライメント方法
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JPH02246314A (ja) 1989-03-20 1990-10-02 Fujitsu Ltd パターン作成方法
US5151750A (en) * 1989-04-14 1992-09-29 Nikon Corporation Alignment apparatus
NL8900991A (nl) 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
NL9000503A (nl) 1990-03-05 1991-10-01 Asm Lithography Bv Apparaat en werkwijze voor het afbeelden van een maskerpatroon op een substraat.
JP2855868B2 (ja) 1990-03-12 1999-02-10 富士通株式会社 レーザトリミング用位置合わせマーク、半導体装置及び半導体装置の製造方法
ATE123885T1 (de) 1990-05-02 1995-06-15 Fraunhofer Ges Forschung Belichtungsvorrichtung.
JPH04303915A (ja) 1991-03-29 1992-10-27 Canon Inc 位置合わせ装置、露光装置、及びそれらを用いた半導体素子の製造方法
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP3309865B2 (ja) 1992-10-02 2002-07-29 株式会社ニコン 結像特性計測方法及び該方法で使用されるマスク
JP2595885B2 (ja) * 1993-11-18 1997-04-02 日本電気株式会社 半導体装置およびその製造方法
JPH07249558A (ja) 1994-03-09 1995-09-26 Nikon Corp 位置合わせ方法
JP2650182B2 (ja) 1995-01-17 1997-09-03 ソニー株式会社 位置合せマーク並びに該マークを有する電子装置及びその製造方法
US5702567A (en) 1995-06-01 1997-12-30 Kabushiki Kaisha Toshiba Plurality of photolithographic alignment marks with shape, size and spacing based on circuit pattern features
US6061656A (en) * 1995-10-06 2000-05-09 Pace; Michael Computer-based trading card system and method
JPH09162102A (ja) 1995-12-07 1997-06-20 Mitsubishi Electric Corp アライメントマーク検出方法
DE69701934T2 (de) * 1996-02-15 2000-11-30 Koninklijke Philips Electronics N.V., Eindhoven Methode zur bestimmung der strahlungsmenge in einem lithographischen gerät; test-maske und gerät ihrer durchführung
JP4075966B2 (ja) 1996-03-06 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 差分干渉計システム及びこのシステムを具えたリソグラフステップアンドスキャン装置
JPH09244222A (ja) * 1996-03-08 1997-09-19 Mitsubishi Electric Corp 重ね合わせ誤差測定用レチクル、そのレチクルを用いた重ね合わせ誤差測定方法および重ね合わせ誤差測定マーク
US5700732A (en) * 1996-08-02 1997-12-23 Micron Technology, Inc. Semiconductor wafer, wafer alignment patterns and method of forming wafer alignment patterns
JP3287236B2 (ja) 1996-10-03 2002-06-04 キヤノン株式会社 回折光学素子の製作方法
EP1197801B1 (en) 1996-12-24 2005-12-28 ASML Netherlands B.V. Lithographic device with two object holders
AU2048097A (en) 1997-01-29 1998-08-18 Micronic Laser Systems Ab Method and apparatus for the production of a structure by focused laser radiation on a photosensitively coated substrate
US5898227A (en) 1997-02-18 1999-04-27 International Business Machines Corporation Alignment targets having enhanced contrast
SE509062C2 (sv) 1997-02-28 1998-11-30 Micronic Laser Systems Ab Dataomvandlingsmetod för en laserskrivare med flera strålar för mycket komplexa mikrokolitografiska mönster
KR100544439B1 (ko) * 1997-03-07 2006-06-07 에이에스엠엘 네델란즈 비.브이. 얼라인먼트유니트를갖는리소그래픽투영장치
WO1998040791A1 (en) 1997-03-10 1998-09-17 Koninklijke Philips Electronics N.V. Positioning device having two object holders
US6064486A (en) * 1998-05-21 2000-05-16 Leland Stanford Junior University Systems, methods and computer program products for detecting the position of a new alignment mark on a substrate based on fitting to sample alignment signals
JP2000133576A (ja) 1998-10-28 2000-05-12 Nec Corp 位置ずれ計測マーク及び位置ずれ計測方法
US6037671A (en) * 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
US6093640A (en) 1999-01-11 2000-07-25 Taiwan Semiconductor Manufacturing Company Overlay measurement improvement between damascene metal interconnections
US6277658B1 (en) * 1999-03-29 2001-08-21 Taiwan Semiconductor Manufacturing Company Method for monitoring alignment mark shielding
JP2001044105A (ja) 1999-07-28 2001-02-16 Hitachi Ltd 半導体装置の製造方法
US6342735B1 (en) * 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
TW588414B (en) 2000-06-08 2004-05-21 Toshiba Corp Alignment method, overlap inspecting method and mask
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
TW536659B (en) * 2001-05-23 2003-06-11 Asml Netherlands Bv Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby
US6803668B2 (en) * 2002-11-22 2004-10-12 International Business Machines Corporation Process-robust alignment mark structure for semiconductor wafers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7982273B2 (en) 2005-03-31 2011-07-19 Sandisk 3D Llc Masking of repeated overlay and alignment marks to allow reuse of photomasks in a vertical structure

Also Published As

Publication number Publication date
KR100583694B1 (ko) 2006-05-25
JP4342155B2 (ja) 2009-10-14
US20050084772A1 (en) 2005-04-21
US6858948B2 (en) 2005-02-22
US20020182518A1 (en) 2002-12-05
US20050040545A1 (en) 2005-02-24
US6876092B2 (en) 2005-04-05
JP2003092257A (ja) 2003-03-28
US20060138682A1 (en) 2006-06-29
US7056805B2 (en) 2006-06-06
JP2003007614A (ja) 2003-01-10
JP4563986B2 (ja) 2010-10-20
KR20020090133A (ko) 2002-11-30
US7759029B2 (en) 2010-07-20
US7462548B2 (en) 2008-12-09
US7560196B2 (en) 2009-07-14
KR20020090134A (ko) 2002-11-30
JP3970106B2 (ja) 2007-09-05
KR100583693B1 (ko) 2006-05-25
US20030020184A1 (en) 2003-01-30
US20090075452A1 (en) 2009-03-19
TWI253682B (en) 2006-04-21
JP2007053407A (ja) 2007-03-01

Similar Documents

Publication Publication Date Title
TW536659B (en) Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby
US6940587B2 (en) Lithographic apparatus and a measurement system
KR100724050B1 (ko) 기판에 대한 정보를 측정하는 방법 및 리소그래피 장치에서사용하기 위한 기판
TW200537256A (en) Illumination apparatus, exposure apparatus and device manufacturing method
CN107567584A (zh) 用于检查及量测的方法和设备
TW200840988A (en) A method of measurement, an inspection apparatus and a lithographic apparatus
JP4058405B2 (ja) デバイス製造方法およびこの方法により製造したデバイス
JP2007251185A (ja) リソグラフィ装置、アライメント方法、およびデバイス製造方法
TW200415450A (en) Method of determining stray radiation, lithographic projection apparatus
JP3978191B2 (ja) 傾斜感度が低減されたウェハアライメント装置および方法
TW200419313A (en) Lithographic apparatus and device manufacturing method
JP3583774B2 (ja) リソグラフィ装置およびデバイス製造方法
EP1260870A1 (en) Alignment mark
EP1260869A1 (en) Substrate provided with an alignment mark in a substantially transparent process layer
US20090109415A1 (en) Lithographic apparatus and method

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees