TW589675B - Plasma treatment device and plasma treatment method - Google Patents

Plasma treatment device and plasma treatment method Download PDF

Info

Publication number
TW589675B
TW589675B TW090102063A TW90102063A TW589675B TW 589675 B TW589675 B TW 589675B TW 090102063 A TW090102063 A TW 090102063A TW 90102063 A TW90102063 A TW 90102063A TW 589675 B TW589675 B TW 589675B
Authority
TW
Taiwan
Prior art keywords
temperature
plate
plasma
silicon
processing chamber
Prior art date
Application number
TW090102063A
Other languages
English (en)
Inventor
Toshio Masuda
Kazue Takahashi
Ryoji Fukuyama
Tomoyuki Tamura
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TW589675B publication Critical patent/TW589675B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control

Description

589675 Λ 7 _·___Β7 五、發明説明(1 ) <發明之領域> 本發明係關於電漿處理裝置及處理方法,特別是關於 適用於半導體製造工程之形成細微圖案之電漿處理裝置及 電漿處理方法。 <先前之技術> 於半導體製造工程中,蝕刻、成膜、去灰等之細微加 工製程,廣泛使用電漿處理裝置。利用電漿處理之製程, 係將導入到真空處理室(反應室)製程氣體,利用電漿產 生手段予以電漿化,於半導體晶圓表面產生反應,進行細 微加工,同時,將揮發性之反應生成物予以排氣,以此來 進行一定之處理者。 此電漿處理製程中,反應室內壁或晶圓之溫度、或對 於內壁之反應生成物之堆積狀態,會對製程產生很大之影 響。又,.如果反應室內部所堆積之反應生成物剝離,則會 成爲造成灰塵之原因,造成元件特性之裂化或生產良率降 低。因此,於電漿處理裝置上,爲了使製程保持安定且抑 制異物產生,控制反應室內部之溫度或控制表面生成物之 堆積,是很重要的。 例如,日本之特開平8 — 1 4 4 0 7 2號公報,記載 著以提高矽氧化膜之乾蝕刻工程之選擇比爲目的,而將反 應室內部之各部之溫度,控制保持於比蝕刻階段之溫度還 高1 5 0 °C以上之1 5 0 °C以上3 0 0 °C以下(較理想者 爲2 0 0 °C以上2 5 0 °C以下)之高溫度値,保持於 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -4 - I--------裝------.---II------^ (請先閲讀背面之注意事項再填寫本頁} 589675 Λ7 _._B7 五、發明説明(2 ) ± 5 °C以內之精度之乾蝕刻裝置。像這樣,將反應室內面 各部之溫度,加熱控制成高溫,使反應室內面之電漿聚合 物之附著量減少,半導體晶圓上之電漿聚合物之附著量增 加,選擇比提高。 又,同樣地,日本特開平5 — 2 7 5 3 8 5號公報, 記載著於平行板式之電漿處理裝置中,在挾持環(被處理 體保持手段)、聚磁環(電漿集中手段)之至少其中一方 ,設置使溫度上升、維持於電漿處理所產生之反應生成物 不會附著之溫度之加熱手段。使用電阻發熱體作爲加熱手 段。由於藉由加熱可以防止反應生成物之附著,所以,反 應生成物之剝離,或者被處理體表面之微粒之附著被減低 了。 但是,像上述這樣,將真空處理室內壁面加熱,設定 成2 0 0 °C〜2 5 0 °C左右以上之高溫時,蝕刻特性變成 對於內壁表面之溫度非常敏感,會有製程之再現性、信賴 性容易降低之問題產生。 例如,S.C· McNevin et al·、J. Vac. Sci. Technol. B 15(2) Mar/Apr 1997 4 Chemical challenge of submicron oxide etching 中顯示出,於感應親合式之電漿中’側·壁 溫度從2 0 0 °C變化成1 7 0 °C時,氧化膜鈾刻率增加5 %以上,即,爲了獲得安定之製程特性,反應室內表面之 溫度必需表持於2 5 0 t ± 2 °C之高精度。 但是,由於真空處理室之內壁面曝曬於高密度之電獎 中,要將壁面之溫度於這樣高溫領域高精度地控制’是不 (請先閱讀背面之注意事項再填寫本頁) 裝· 訂 線 本紙蒗尺度適用中國國家標準(〇奶)人4規格(2丨0>< 297公釐) _5 - 589675 Λ7 B7 五、發明説明(3 ) 容易的。溫度控制變成需要使用高精度之臨場(in-situ ) 溫度檢測手段、或加熱燈管等之加熱手段。溫度之機構· 手段變成大規模化,裝置會會複雜化,導致成本增加。又 ,於2 0 0 °C以上之高溫領域,所能使用之材料有所限制 ,此也是問題。 關於此點,本案之申請人,於同一申請人之日本特開 平1 1 — 3 4 0 1 4 9號公報特願平1 0 -1 4 7 6 7 2號)中揭示,以一個有磁場UHF帶電磁波 放射放電方式之電漿鈾刻裝置作爲一實施例,將真空處理 室內壁面之溫度,設定於1 0 0 t以下之溫度範圍,使製 程對於溫度變化變的不敏感,可已獲得於 ± 1 〇 °C左右 之溫度度精度也很安定之製程再現性。 又,於同一申請案中揭示,對於接觸電漿之構成構件 (或內壁面),以至少於一部份上施加偏壓,且使熱容量 變小,以使構成構件之溫度爲1 5 0 °C以上2 5 0 °C以下 ,而可以達成構成構件之溫度變動小到對於製程實質上不 會有影響之位準。 更者,本案之申請人,於同一申請人之日本特願平 1 1 — 2 3 2 1 3 2中提案,對於試料之外側部所設之砂 製之聚磁環,施加沉澱物不會堆積之強度以上之偏壓,且 使表面溫度爲1 5 0 °C以上,矽表面之反應溫度依存性變 小,變的安定,可以確保製程再現性。 但是,於上述案件申請之時,針對面向試料晶圓之上 部天線(或上部電極或天版)所設置之板,對製程安定性 悵尺度適用令.國國家標準(CNS ) A4規格(210X297公釐) ~- ^衣 · J 訂 Λ^. (請先閱讀背面之注意事項再填寫本頁) 589675 Λ 7 Β7 五、發明説明(4 ) 影響較大者,針對其所達成之目的,係僅藉由施加偏壓使 反應生成物不會堆積而達製程之安定,並沒有到達完全理 解其作用機制或將其必要條件定量化者。 (請先閱讀背面之注意事項再填寫本頁) <解決問題之手段> 因此,站在相關技術之立足點,本案發明者們,針對 解決上述之課題,進行無數次之精心硏究之結果,對於與 試料晶圓面對之板,找到製程安定性之確保所必要之溫度 範圍或精度或偏壓施加所造成之表面狀態之控制之要件, 而想到本發明。 本發明係,依據本案發明者所發現之現象而寫成者, 以提供能獲得具有良好之安定性•再現性之製程特性之電 漿處理裝置及處理方法爲目的。 本發明係一種電漿處理裝置,係具有:真空處理室, 及將氣體供給該真空處理室之處理氣體供給手段,及將在 真空處理室內被處理之試料予以保持著之電極,及面對上 述試料而被設置於該真空處理室之電漿產生裝置,及將該 真空處理室予以減壓之真空排氣系;其特徵爲:上述電漿 產生裝置,係具有被設置於處理室內側之矽製之板,對於 該矽製之板,施加V d c = — 5 Ο V以上—3 Ο Ο V以下 之偏壓電壓,且,使上述板之表面溫度爲1 〇 〇°C以上 2 0 0 °C以下之範圍。 本發明之其他特徵爲,使上述電漿處理裝置之矽製之 板之表面溫度之變動爲± 2 5 °C以內。 本發明之其他之特徵爲,於上述之電漿處理裝置,其 本紙張尺度國家g隼(CNS ) A4規格(210><297公慶1 ~~ " 一 589675 A7 B7 五、發明説明(5 ) 中上述電漿產生裝置,爲300 MHz到1 GHz之有磁場 或無磁場UHF帶電磁波放射放電方式;上述矽製之板之 電阻率爲1Ω · cm以上20Ω· cm以下之範圍,較理 想者爲1 0Ω · cm以下,更理想者爲5Ω · cm左右, 且,上述矽製之板之厚度爲5mm以上2 0mm以下,較 理想者爲10mm以下。 依據本發明,藉由對於面對試料被配置之矽製之板之 溫度調節與偏壓之施加,使矽表面之反應之溫度依存性變 小,對於上述板之表面溫度之±2 5 °C以內之範圍之變動 ’電漿狀態汲製程特性安定化,所以,可以實現具有良好 安定性•再現性之製程特性之電漿處理裝置及電漿處理方 法。 又,依據本發明之特徵,對於U H F帶電磁波之矽製 之板內部所傳播之U H F帶電磁波之表皮厚度與矽板之厚 度大約相等,U H F帶電磁波所造成之.電流過板全體,所 以,矽自身之內部電阻所產生之自身發熱,可以使板效率 良好地加熱,因此,可以將矽製之板之表面溫度設定於, 對於溫度變動之表面反應之溫度依存性變小之1 〇 〇 °C以 上2 0 0 °C以下之範圍,電漿狀態及製程特性會安定化, 所以,可以實現具有良好安定性•再現性之製程特性之電 獎處理裝置及電發處理方法。 <圖示簡單說明> 圖1係將本發明應用於有磁場UHF帶電磁波放射放 I--------裝--.--1—訂------線 (請先閱讀背面之注意事項再填寫本頁) 本紙浪尺度適用中.國國家標隼(CNS ) A4規格(210X297公釐) ·8 - 589675 Λ7 B7 五、發明説明(6〉 電方式之電漿蝕刻裝置之第1實施例之縱剖面圖模式圖。 圖2係第1實施例之天線構造之實施例之縱剖面模式 圖。 圖3係表示評價第1實施例之板之消耗率之結果之圖 〇 圖4係表示第1實施例之板之溫度變動之圖。 圖5係表示第1實施例之定常狀態之板之溫度變動圖 〇 圖6係表示1實施例中板之電阻率不同時之溫度變動 之圖。 圖7係表示將本發明應用於有磁場UHF帶電磁波放 射放電方式之電漿蝕刻裝置之第2實施例之縱剖面圖模式 圖。 圖8係表示第2實施例之板之溫度變動之圖。 圖9係表示第2實施例之電漿發光、放電電壓、天線 偏壓之時間變化圖。 圖1 0係表示第2實施例中測定對於蝕刻量及蝕刻率 之蝕刻時間之依存性之結果之圖。 <符號之說明> 10 0 處理室 10 1 磁場形成手段 10 2 側壁 103 側壁單元 ^^:尺度適用中.國國家標隼(〇奶>人4規格(210/ 297公釐) :r9Z " ' I--------^衣----------、玎------# (請先閲讀背面之注意事項再填寫本頁) 589675 A7 B7 五、發明説明(7 ) 10 4 熱 媒 體 供 給 手 10 5 .真 空 室 10 6 真 空 排 氣 系 10 7 壓 力 控 制 手 段 11 0 天 線 11 1 圓 板 狀 導 電 體 11 2 介 質 11 3 介 質 環 11 5 板 11 6 外 周 環 12 1 天 線 電 源 12 1 天 線 電 源 12 2 天 線 偏 壓 電 源 13 0 下 部 電 極 13 1 靜 電 吸 著 裝 置 14 1 偏 壓 電 源 14 4 直 流 電 源 14 5 濾 波 器 I--------批衣-------- ^------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 <實施例> 以下,依據圖面,說明本發明之實施例。 圖1係將本發明應用於有磁場UHF帶電磁波放射放 電方式之電獎餓刻裝置之貫施例,該電漿軸刻裝置之,縱音|] 面圖之模式圖。 本纸張尺度適用中國國家標準(CNS)Α4規格(2丨0X297公釐) -10- 589675 A7 _.___ B7 五、發明説明(8 ) 於圖1中,處理室1 00係可以達成1 〇_6 Ton·左 右之真空度之真空容器,於其上部具備有放射電磁波之天 線1 1 0,於其下部具有載置晶圓之試料W之下部電極 1 3 0。天線1 1 0及下部電極1 3 0,係被設置成平行 對向之形狀。於處理室1 0 0之周圍,設置有例如電磁線 圏及轭所形成之磁場形成手段1 0 1。藉由由天線1 1 〇 所放射出之電磁波與磁場形成手段1 0 1所形成之磁場之 相互作用,使被導入處理室內部之處理氣體電漿化,使產 生電漿P,處理被載置於下部電極1 3 0之試料W。 另一方面,處理室1 0 〇,係藉由連接於真空室 105之真空排氣系106,被真空排氣,藉由壓力控制 手段1 0 7,使壓力被控制。處理壓力,係被調整爲 0 · 1 Pa以上1 〇 pa以下,理想者爲〇 · 5 Pa以上4
Pa以下之範圍。處理室1 〇〇及真空室1 〇 5 ,係成爲 接地電位.。處理室1 〇 〇之側壁1 〇 2,被以可交換之方 式設置具有溫度控制機能之側壁內單元1 〇 3。側壁內單 元 1 0 3 ’係藉由從熱媒體供應手段1 〇 4被循環供給熱媒 體,使處理室內表面之溫度被控制。或藉由加熱器之加熱 機構與溫度檢測手段之回饋控制,來控制溫度也可。溫度 控制範圍,係〇 °c〜1 〇 〇 °C、較理想者爲2 0 〜 8 0 °C,於± 1 〇 °c以內之精度被控制。處理室1 〇 〇之 側壁1 0 2、側壁單元1 0 3,較理想者係以不含重金屬 之熱傳導性良好之例如鋁等之非磁性金屬材料,於其表面 ^^尺度適用'^'5^1家標隼(〇奶)/\4規格(210>< 297公釐) :11 - "~~^ ---------^.------.--IT------^ (請先閱讀背面之注意事項再填寫本頁) 589675 A7 B7 五、發明説明(9 ) ,塗上耐電漿性之防蝕鋁等之表面處理。 真空容器之上部所設置之天線1 1 0,係由圓板狀導 電體1 1 1、介質1 1 2、介質環1 1 3所構成,被保持 於真空容器之一部份之框體1 1 4。又,接觸圓板狀導電 體1 1 1之電漿之側之面,被設置有板1 1 5 ,更者,於 其外側被設置有外周環1 1 6。圓板狀導電體1 1 1 ,藉 由未圖示之溫度控制手段、即於其內部循環之熱媒體,使 溫度維持於一定之値,相接於圓板狀導電體1 1 1之板 1 1 5之表面溫度被控制。進行試料之蝕刻、成膜等之處 理之處理氣體,係從氣體供給手段1 1 7,以具有一定之 流量及混和比而被供給,通過圓板狀導電體1 1 1與板 1 1 5所設之多數之孔,被控制成一定之分布,被供給到 處理室1 0 0。 天線1 1 0,與作爲天線電源1 2 0之天線電源 1 2 1、天線偏壓電源1 2 2,分別經由匹配電路•濾波 系123、124,而被連接,經由濾波125 被連接於接地。天線電源1 2 1 ,係供給3 0 0 MHz到1 GHz之UHF頻帶之電力。先使圓板狀導電體1 1 1之徑成爲某特性長度,而使例如Τ Μ 〇 1模式這樣之 固有之激勵模式被形成。於本實施例中,天線電源1 2 1 之頻率爲4 5 0 MHz,圓板狀導電體1 1 1之直徑爲3 3 0 m m 〇 一方面,天線偏壓電源1 2 2,係對於天線施加從數 10 KHz到數1 〇 MHz之範圍之頻率之偏壓電壓,藉此 本紙張尺度適用中國國家標隼(CNS )八4規格(2丨〇x 297公f ) ^12 - " ---------fi^------Γ- 丁_______良 (請先閲讀背面之注意事項再填寫本頁) 589675 A7 B7 五、發明説明(10 ) ,控制些接於圓板狀導電體111之板115之表面之反 應。特別是,以高純度之矽作爲1 1 5之材質,於例如使 用C F系之氣體之氧化膜蝕刻中,控制板1 1 5之表面之 F基或C F X基之反應,可以調整基之組成比。於本實施 例中,天線偏壓電源爲頻率1 3 . 5 6 MHz、電力爲5 0 W到6 0 0 W。這時,板1 1 5藉由自我偏壓,產生偏壓 電壓V d c。該V d c之値雖然係依照電漿密度或壓力, 但是約爲V d 50V--300V。於本實施例中 ,與所謂平板型之容量結合方式之電漿裝置不同,使板1 1 5所產生之自我偏壓與電漿生成可以獨立控制之點,爲 其特徵。特別是,使偏壓電壓爲V d c = - 1 〇 〇左右以 下之低的値,藉此,抑制矽之消耗,減低運轉成本,或抑 制矽之濺射,可以減低對於試料W上之蝕刻殘渣。 板1 1 5之下面與晶圓W之距離(以下稱爲間隙), 爲3 Omm以上1 5 Omm以下,較理想者爲5 Omm以 上1 2 0mm以下。板1 1 5具有大面積,與試料相對, 所以,對於處理製程影響最大。使對於該板1 1 5面所方| 加之偏壓及溫度控制,爲某範圍,藉此使表面反應安定化 ,可以獲得再現性良好之製程特性,此爲本發明之主要| 眼電,關於此於後面再作詳細說明。 處理室1 0 0之下部,面對天線1 1 0,設置下部_ 極1 3 0。下部電極1 3 0,其例如供給從4 0 〇 KHz 到1 3 . 5 6 MHz之範圍之偏壓電力之偏壓電源1 4 1 , 經由匹配電路•濾波系1 4 2而被連接,控制施加於試# 本紙張尺度適用中.國國家標準(CNS ) A4規格 (210X297公釐) -13 (請先閱讀背面之注意事項再填寫本頁) 裝 、-口 線 589675 A 7 ^__ 五、發明説明() w之偏壓,同時,介由濾波器1 4 3連接於接地。本實施 例中,偏壓電源1 4 1之頻率爲8 0 0 KHz。 下部電極130係,介由靜電吸著裝置13 1 ,於其 上面,即試料載置面載 置保持晶圓等之試料W。靜電吸著裝置1 3 1 ,係於 其上面形成靜電吸著用介質層(以下,簡稱靜電吸著膜) ’從靜電吸著用之直流電源1 4 4與濾波器1 4 5,施加 數1 0 0 V〜數K V之直流電壓,以靜電吸著力,將試料 W吸著•保持於下部電極1 3 0上。靜電吸膜,係使用例 如氧化鋁或氧化鋁混和鈦氧化物之介質。又,靜電吸著裝 置1 3 1 ,係藉由未圖示之溫度控制手段,使其表面被控 制於一定之溫度。而,靜電吸著裝置1 3 1之表面,係被 供給惰性氣體、例如H e氣體被設定成一定之流量與壓力 而被供給,提高與試料W之間之熱傳達性。藉此,可以將 試料W表面之溫度,非常精確地控制於例如約1 0 〇 °C〜 1 1 0 °C之範圍。 靜電吸著裝置1 3 1之上面之試料w之外側部,係設 置有以高純度之矽所形成之環狀之構件之聚磁環1 3 2。 聚磁環1 3 2係藉由絕緣體1 3 3與靜電吸著裝置1 3 1 絕緣。電極之外側,係設有電極外周蓋1 3 4。絕緣體 1 3 3、電極外周蓋1 3 4,使用鋁或石英是適當的。於 本實施例中,絕緣體1 3 3、電極外周蓋1 3 4係使用鋁 。藉由這樣之構造,對於聚磁環1 3 2,可以將被施加於 下部電極之偏壓電力,經由絕緣體1 3 3,使一部分浅漏 I---------奸衣--·--j--IT-----1 0 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標隼(CNS ) A4規格(公釐) -14- 589675 A7 B7 五、發明説明(12) (請先閱讀背面之注意事項再填寫本頁) 然後施加於其上。對於聚磁環1 3 2之偏壓之施加強度, 係藉由絕緣體1 3 3之界電常數及厚度,可以是當地調整 。聚磁環與絕緣體1 3 3係被真空斷熱,就熱而言幾乎是 非接觸,所以,藉由電漿與偏壓’將其加熱,非常有效率 地使溫度升高是可能的。更者’以矽作爲聚磁環1 3 2之 材質,藉由聚磁環1 3 2之表面之矽之淸除作用,調整F 基或C F X基之反應或基組成,特別是可以控制晶圓外周 部之蝕刻均一性。 本實施例之電漿蝕刻裝置,係由上述這樣所構成。又 ,上述實施例中,關於側壁部分之溫度調節,可以採用上 述特願平1 0 - 1 4.7 6 7 2號公報所揭示之內容。又, 關於聚磁環之構造與溫度調節,可以採用上述特願平1 1 一 2 3 2 1 3 2號公報所提案之內容。 其次,使用該電漿蝕刻裝置,進行矽氧化膜之蝕刻時 之具體製程,使用圖1作詳細說明。 線 首先,處理之對象物之晶圓W,係從未圖示之試料搬 入機構,被搬入到處理室1 0 0之後,被載置•吸著於下 部電極1 3 0之上,配合需要,調整下部電極之高度,被 設定成一定之間隙。接著,處理室1 0 0內試料W之蝕亥[J 處理所必要知氣體,例如C 4 F 8及A r與〇2,從氣體 供給手段1 1 7,通過板1 1 5,以具有與一定流量之混 和比,被供給到處理室1 〇 〇。同時,處理室1 〇 〇,係 藉由真空排氣系1 〇 6及壓力控制手段1 〇 7,被調整爲 一定之處理壓力。其次,藉由從天線電源1 2 1來的 本紙張尺度適用中.國國家標準(CNS ) A4規格(2丨0 X 297公釐) -15 - " ' 589675 A7 B7 ---------------------------------- 五、發明説明(13) 4 5 0 Μ Η z之電力供給’從天線1 1 0電磁波被放射。 然後,與藉由磁場形成手段1 0 1於處理室1 〇 〇之內部 所形成之160高斯(對於450 MHz之電子迴旋加速 器共鳴磁場強度)之槪略水平之磁場之相互作用,藉此於 處理室1 0 0內產生電漿P,處理氣體被解離,產生離子 •基。更者,藉由由天線偏壓電源1 2 2來之天線偏壓電 力或下部電極之偏壓電源1 4 1來之偏壓電力’控制電漿 中之離子或基之組成比或能量,於晶圓W進行蝕刻處理。 然後,隨著鈾刻處理之終了,停止電力•磁場及處理氣體 之供給,結束飩刻。 本實施例之電漿處理裝置係向上述這樣所構成者。其 次,針對本實施例之裝置之板1 1 5之溫度控制之方法, 具體地予以說明,順便考察板1 1 5之溫度設定之後’顯 示測定板1 1 5之溫度之結果。 首先,藉由圖2說明板1 1 5之溫度控制之方法、即 ,冷卻與加熱之機構。圖2係圖1之天線1 1 〇之詳細剖 面圖,表示板1 1 5之溫度調節之構造。如圖1所說明者 ,天線1 1 0係由圓板狀導電體1 1 1、介質1 1 2、介 質環1 1 3所構成,圓板狀導電體1 1 1與電漿相挨著之 側之面,設置有板1 1 5。板1 1 5於外周部’藉由固定 螺絲等,被固定於圓板狀導電體1 1 1 ° 首先,板1 1 5之冷卻機構係如下。板1 1 5之背面 所設置之圓板狀導電體1 1 1 ,係從熱媒體導Α π 1 1 8 Α被導入熱媒體,於內部循環,然後’從導出口 本紙張尺度適用中.國國家標隼(CNS ) A4規格(2i0 X 297公釐) -16- I--------1衣---------1T------0 (請先閱讀背面之注意事項再填寫本頁) 589675 A7 B7 五、發明説明(14) 1 1 8 B被排出,藉此,溫度被維持於一定溫度。圓板狀 導電體1 1最好是使用熱傳導良好之鋁。熱媒體之溫度最 好是常溫附近,例如3 0 t左右。一方面,圓板狀導電體 1 1 1,從氣體供給手段1 1 7被供給處理氣體,於內部 被分散,通過板1 1 5所設之多數之氣體孔,處理氣體被 供給到處理室1 0 0內。所以於處理中,板1 1 5與圓板 狀導電體1 1 1之間,存在有處理氣體。板1 1 5,藉由 此氣體傳熱,從圓板狀導電體1 1 1被冷卻,溫度被調整 。更者,於圖2之實施例中,圓板狀導電體1 1 1與板 1 1 5相挨著之側之面,設有空間1 1 1 A,於此蓄積有 處理氣體,藉此,提高圓板狀導電體1 1 1與板1 1 5之 間之熱傳導率,使板1 1 5能很有效率地被冷卻。又,氣 體孔之直徑設定爲,圓板狀導電體1 1 1爲(D2mm,板 1 1 5 爲 Φ0·5ιϊιγπ〇 另一方面,板1 1 5之加熱機構,有從電漿Ρ來的電 漿加熱,天線偏壓之離子加熱,更加有,板1 1 5本身之 自身發熱。 電漿加熱,不用說,當然是利用電漿Ρ中之高溫之電 子或離子,使板1 1 5被加熱者。 離子加熱,係被板所拉過來之離子之能量所造成之加 熱。天線1 1 0被天線偏壓電源1 2 2施加高頻之天線偏 壓,藉由自偏壓(self-bias ),產生偏壓電壓V d c。天 線偏壓之電力,爲5 0 W到6 0 0 W左右,這種狀況時, 板1 1 5被施加約V d c = - V〜一 3 Ο Ο V之自偏壓, 本紙^尺度適用中國國家標孪(CNS )八4規格(210X 297公釐) :17 * 裝 · I 訂 線 (請先閲讀背面之注意事項再填寫本頁) 589675 A7 _·_B7 五、發明説明(15) 藉由此能量,離子被拉過來,而成爲將板1 1 5熱者。 又,板1 1 5之自身發熱,係板1 1 5之材料之矽所 具有之內部電阻所造成之電阻加熱。天線電源1 2 1所供 給之U H F頻帶之電磁波(以下簡稱爲utIF波),係於 介質1 1 2之內部’如1 2 1 Α這樣傳播,從介質環1 1 3被放射到處理室1 〇 0內(1 2 1 B ),同時,傳潘到 板1 1 5 ,從板1 1 5之表面被放射到處理室1 〇 〇內( 1 2 1 C )。 此處,UHF波傳播於板1 1 5中之狀況,係隨著板 115之材質之矽的電阻率之不同而有很大之變化。矽之 電阻率係藉由B (硼)之添加量而可以被調整者,例如, 可以設疋爲如果B濃度爲1 014左右時,爲5Ώ · cm 左右,B濃度爲1〇18〜1 〇19時,爲〇·〇 IQ · cm 左右。 矽之電阻率爲5Ω · cm時,對於UHF頻率4 5 0 MHz之電磁波之表皮厚度(表皮深度)爲約7mm。即 ,U H F波所造成之電流,於從表面起到7 m m左右之深 度之領域中傳播。一方面,板1 1 5之板厚,從板之彎曲 剛性或強度等來看,5 m m到2 0 m m左右是適當者,·考 慮板1 1 5之材料或製作所需要之成本,則板厚爲1 〇 m m左右以下較理想。這頂多是表皮厚度之2倍左右。因此 ,就成爲U H F波所造成之電流於板之內部全體傳播。這 時,由於矽板1 1 5之電阻率爲5 Ω · c m,很高,所以 ,產生電流之焦耳熱。像這樣之現象,於矽之電阻率爲 本紙a尺度適用中國國家標隼(CNS ) A4規格(ΐϋ 297公釐) -18 - ~ 一 I^-------—1Τ------.^ (請先閱讀背面之注意事項再填寫本頁) 589675 Λ7 B7 五、發明説明(16 ) 1 Ω · cm〜1 〇Ω · cm之範圍時會產生。即,對於 UHF頻率4 5 0 MHz,於矽之電阻率爲1 Ω · cm〜 1〇Ω·cm時,藉由傳播於板內部之UHF波,板由於 電阻加熱,本身發熱,產生昇溫。 一方面,矽之電阻率爲例如〇 . 〇 1 Ω · c m,很低 時,UHF頻率450 MHz之表皮厚度(表皮深度),爲 約 0.1mm〜〇.5mm左右。這時,UHF波之電流成爲於 板1 1 5之最表面傳播,由於電流集中於最表面,及矽之 電阻率低,使的板1 1 5幾乎不會產生自身發熱。像這樣 ’板1 1 5之自身發熱,係隨著板1 1 5之材料之矽之電 阻率,而產生很大之發熱量變化。 到此爲止,係說明了圖1之實施例之矽板1· 1 5之冷 卻與昇溫之機構。板1 1 5之溫度係使這些機構平衡,控 制於一定之値者。接著,針對板1 1 5之溫度設定,予以 考量。 定性的予以考量,則當板1 1 5之溫度低時,於板之 表面’反應生成物變的容易堆積,表面狀態隨著時間而變 化或堆積之反應生成物會剝離,成爲異物源,是很容易可 以推察者。但是,並不只於此,特別是於板1 1 5之溫度 爲1 0 0 °C以下,很低時,對於矽表面之反應狀態之溫度 變化之依存性變大,是本案發明者們所發現者。關於此, 以圖3作說明。 圖3係表示,於矽板之表面反應,取消耗率,評價對 裝 · - 訂 線 (請先閱讀背面之注意事項再填寫本頁) 本紙浪尺度適用中國國家標隼(CNS ) A4現格(210X297公釐〉 -- 589675 A7 _ B7 五、發明説明(π) 於矽板之消耗率之偏壓施加量•表面溫度之影響之結果。 此係於圖1之實施例之裝置上,以將矽之消耗率予以定量 化之目的來進行之實驗結果。實驗係放電1小時(放電 〇N(3分鐘)/〇FF (1分鐘)予以重複20次)之 後,對於隙之消耗量用階差計予以測定,以此評價矽之消 耗率(蝕刻率)。參數爲對於矽施加之偏壓(V d c )及 矽之溫度。矽之溫度是以溫度調節之溫度或氣體壓力等予 以設定,以貼於表面之熱變色標籤予以測定表面溫度。 從圖3可知,矽之溫度爲低溫,5 0 °C〜7 0 °C時’ 隨著偏壓電壓之絕對値(V d c之絕對値I V d c丨)變小 ,矽之消耗率減少,相對於此,當矽之溫度爲1 0 〇 °C〜 1 0 5 °C,或1 2 5 t〜1 3 0 °C時之高溫時,及使 丨V d c丨變小,矽之消耗率也幾乎一定。這是因爲定性的 ,矽之表面溫度低時,矽表面之沉澱率增加,所以,藉由 丨V d c丨之大小,離子到達矽表面之到達量會受影響’所 以矽消耗率對於I V d c丨之依存度變大,相對於此,汐之 溫度高時,沉澱率下降,所以,即使丨V d c丨很小,矽之 表面之蝕刻反應也會進行。這是我們所理解到者。 又,對於圖3之其他之看法,表示是當丨V d c M、時 ,例如,於V d c二—1 6 0 V,矽之消耗率於5 0 °C〜 7 0 °C與1 〇 〇 t〜1 0 5 °C爲有相當大的不同,矽之消 耗與表面溫度之變化有很大之依存關係。此傾向’當 丨V d c|爲5 0 V左右,變小時,變個更爲明顯。一方面 ,當丨V d c I爲3 0 0 V以上,變成很難受到溫度之變化 本紙張尺度適用中國國家標準(CNS ) Μ規格(210X 297公釐) _ 20 - I 批衣--.------1Τ------.^. (請先閱讀背面之注意事項再填寫本頁) 589675 A 7 _._B7 五、發明説明(18) I--------^--·-----1T (請先閱讀背面之注意事項再填寫本頁) 之影饗。這是因爲,當V d c低時,矽表面之沉澱膜不容 易被離子所除去,沉澱率容易受溫度依存性之影響,但是 ,當V d c高時,矽表面之沉澱膜容易被被離子所除去, 所以,對於矽表面之反應之溫度依存性變小者。 由此結果來看,可以知道,矽之消耗率,於偏壓施加 量(I V d c I )大,溫度高之條件下,不容易受偏壓施加 量或溫度變化之影響。由此可以了解,以能滿足這兩方之 條件之窗子,使矽之表面之反應之安定化成爲可能。將上 述之結果予以彙整,則於Vd c = — 5 0V〜—3 0 0V ,使矽板之表面溫度爲1 0 0 °C以上,則可以知道,矽板 之表面反應不容易受偏壓施加量或表面溫度之影響。 一方面,當板1 1 5之溫度高時,藉由板1 1 5之材 質之矽與圓板狀導電體111之材質之鋁之熱膨脹率之差 ,於板1 1 5與圓板狀導電體1 1 1之接觸面’變成很滑 ,板會裂開。此臨界溫度,是隨著裝置之設計餘裕度而不 同,但是,可以想是約爲2 0 0 °C〜2 5 0 °C左右,所以 ’如果考慮與圓板狀導電體1 1 1之溫度差時’則板 1 1 5之溫度爲設定於約1 50 °C附近,最高爲200 °C 左右,是較理想者。 藉由上述之檢討,從製程安定性之觀點來看’於本實 施例中,於矽製之板1 1 5所產生之自我偏壓V d c爲 Vd c=— 5 0V〜—3 00V時,將板1 1 5之表面溫 度設定爲1 0 0 t以上2 0 〇 °C以下之範圍’較理想者爲 1 5 0 °C附近是較理想者。於本實施例中,使矽板1 1 5 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -21 - 589675 A7 __·__ _ B7 五、發明説明(19) 之電阻率•厚度、圓板狀導電體1 i i之冷煤溫度與冷卻 效率、氣體孔之大小等之設計參數,爲最佳化,藉此,板 1 1 5之溫度調整到規定之値。 其次,於實施例1之裝置中,使用圖4到圖6,說明 實際測量矽製之板1 1 5之溫度之結果。 圖4是表示連續處理試驗之板115及圓板狀導電體 1 1 1之溫度變動。處以試驗是從裝置沒有被預先加熱之 狀態開始,使放電3分鐘〇N / 1分鐘〇F F連續重複。 矽板1 1 5之電阻率爲5 Ω · c m。處理條件如下 處理條件A : 蝕刻氣體組成:Ar/C4F8 / 02 = 400/ 15/9 seem、 氣體壓力·· 2 · 0 P a、 間隙:7 0 m m
電力:天線/天線偏壓/下部偏壓=1 0 0 0 W/ 400W/600W 可以確認,溫度係以螢光溫度計直接安裝於板1 1 5 之電漿側之面予以測定,可以非常精確地予以測定內壁面 之溫度。連續處理試驗開始,同時,板1 1 5之溫度急速 上升,放電開始後3分鐘左右,超過1 〇 0 °C,幾乎達到 定常狀態。這中間,圓板狀導電體1 1 1之溫度,於慢慢 地上升約6 0分鐘後,上升到7 0 °C左右。但是,於此期 間,板1 1 5之入熱與出熱成爲平衡,板1 1 5之溫度從 大約1 1 0 °C起到1 2 0 °C附近,一定的推移。又,6 0 分鐘以後,使天線偏壓電源之輸出,從4 〇 〇 W開始降低 本紙張尺度適用中國國家標隼(CNS ) >A4規格() - 22 -一 ' -II---- H - ---I n L---丁— I I 1------J—— (請先閱讀背面之注意事項再填寫本頁) 589675 A7 _— —__ B7 五、發明説明(20) 到2 〇 0 W,但是,這時,板1 1 5之溫度下降約1 0 °C 左右。此溫度表示離子加熱之效果。 其次,將時間表予以更詳細化,隨著放電之〇N / ◦ F F之溫度變動之結果,以圖5表示。於圖5中,表示 定常狀態之板1 1 5之溫度。藉由放電〇N,板1 1 5之 溫度從1 1 〇。(:開始,於3分鐘後,上升到1 2 〇 °c。於 處理終了,會放電0ίτ F,同時溫度上升停止,但是,之 後’溫度也不會下降,幾乎顯示一定値,爲了下一個處理 ’處理氣體被導入時,溫度會降低。這是因爲,隨著處理 終了’處理氣體之供給也停止,所以,板1 1 5與圓板狀 導電體1 1 1之間沒有氣體傳熱所致。由此可知,氣體傳 熱所造成之板之冷卻之效果很大。 其次,藉由圖6 ,說明矽之電阻率不同時之結果。圖 6是矽板1 1 5之電阻率爲〇·〇 1Ω · cm很低時之板 1 1 5之溫度變化之測定結果。處理條件與圖4之電阻率 5 Ω · c m時相同,但是,與圖4相比,定常溫度爲較低 爲約7 0 °C。此爲矽之內部電阻所造成之自身發熱之有無 之差異所造成者。又,次所謂之7 0 °C溫度,係與圖4所 示之圓板狀導電體1 1之飽和溫度幾乎相同。此係表示, 藉由本實施例之構造,對於電漿加熱及偏壓加熱之溫度上 升,有效率之冷卻爲可能者。 但是’於定常溫度下,約爲7 0 °C之溫度領域係如圖 3所不這樣,藉由偏壓電壓之變動,矽之消耗率、即表面 之反應狀態尺產生變化之領域。又,使偏壓電壓爲低時, 本紙張尺度適用中國國家標iT^NS ) A4規格(210X297公釐) : 23- ' ---------士"---.---:--丁------泉 0¾ 、\| 务 (請先閱讀背面之注意事項再填寫本I) 589675 Λ7 __-_ B7 五、發明説明(21 ) 成爲於表面容易堆積反應生成物,藉由堆積膜之剝離產生 異物產生之可能性。像這樣,此溫度領域,從製程再現性 及異物控制之觀點來看,並不是理想之溫度範圍。一方面 ,圓板狀導電體1 1 1是由熱傳導良好之鋁所構成,以旋 環冷煤來進行溫度調節,所以,如圖4所示這樣,即使是 定常狀態,其溫度也被維持於7 0 °C。所以,必需要使矽 製之板1 1 5之溫度,維持於比圓板狀導電體1 1 1爲高 者。因此,板1 1 5之矽之內部電阻所造成之自身發熱, 可以不需要複雜之加熱機構,對板1 1 5自身很有效率地 加熱,可以將板1 1 5之溫度設定於一定之値,此爲其優 點。 又,如剛才所述,電阻率爲〇 . 〇 1 Ω · c m,很低 時,表皮厚度(表皮深度)成爲0.1mm〜0.5mm左 右,但是,這時,由於U H F波所造成之電流集中於板1 1 5之最表面,所以,會於砂表面產生微米之侵鈾。本案 發明者們,檢討之結果,發現爲了不使侵鈾產生’必需要 使電阻率爲1 Ω · c m。 一方面,當板1 1 5之電阻率過高時,UHF波於板 1 1 5之內部傳播時之損失變大’從板1 1 5之中心附近 所放射之U H F波之強度降低,所以’電漿分布會變化。 爲了不使這種現象產生,板115之電阻率20Ω·cm 係恰當者 ,較理想者爲1 0 Ω · c m以下。 藉由以上之理由,導出以下之結論,對於U H F波之 本紙張尺度適用中國國家標準(CNS ) A4說格(210X 297公釐) -24- ---------¾.--------IT------^ (請先閲讀背面之注意事項再填寫本頁) 589675 Λ7 -__B7___ 五、發明説明(22 ) 頻率450 MHz,板115之矽之電阻率爲1Ω· cm 以上20Ω·cm以下是恰當者,10Ω·cm以下是較 浬想者,特別是5 Ω · c m爲最佳者。 其次,從電漿處理中之板1 1 5之溫度變動對於電漿 學性質或蝕刻特性之影響,來考察板1丨5之溫度調節 所要求之精度。 於圖2之實施例中,藉由挨著圓板狀導電體1 1 1之 板1 1 5側之面所設之空間1 1 1 A所積蓄之處理氣體, 提高圓板狀導電體1 1 1與板1 1 5之間之熱傳導效率, 確保板1 1 5之溫度調節精度。其結果,如圖4、圖5所 示,放電〇N /〇F F時之板1 1 5之溫度,被控制成於 115°C附近,有 ±5 °C左右之變動。由圖3之結果也 可以容易推測,如果使溫度變動爲± 5 °C左右,.則可以使 板1 1 5之表面反應十分安定。而,於偏壓被施加之條件 下,溫度變動愈大,具體而言,即使微±2 5 °C左右,也 可以使製程安定化。關於此事實,以實驗確認知結果,以 圖7到圖9來作說明。 圖7係表示使用於實驗之第2實施例之構造。與圖2 所示之第1實施例爲大約相同之構造,但是,對於板· 1 1 5之溫度變動之控制及冷卻有很大效果之圓板狀導電 體1 1 1與板1 1 5之間之空間並沒有設置之構造,提高 板1 1 5之溫度,同時,容許溫度變動之點,係與圖2之 實施例不同。板1 1 5之表面溫度之設計値,假設係於 1 5 0°C附近,有±2 5 t:左右之變動。更者,使外周環 (請先閲讀背面之注意事項再填寫本頁) •裝 、-口 線 本紙浪尺度適用中.國國家標隼() A4規格(210X 297公釐) -25 - 589675 A 7 _._ B7 五、發明説明(23) 1 1 6變厚,使內側爲傾斜狀態,以提高U H F波1 2 1 Β之放射效果,提高電漿生成效果,同時,抑制電漿ρ之 擴散,提高電漿Ρ之密度。 這時之板1 1 5之溫度變動以圖8表示。處理條件係 使用與圖3之說明所示者同等之條件。這種情況時,於處 理開始後6 0分鐘之定常狀態下,藉由放電〇Ν,板 1 1 5之溫度從1 2 5它上升到1 6 5 °C。即,隨著放電 〇N/〇F F ,產生大約±2 0。(:之溫度變動。產生像這 樣之溫度變動時之電漿及電漿中之化學性質之狀態之變化 (或安定性),藉由電漿發光及放電特形之時間變化予以 調查之結果,以圖9予以說明。 圖9係表示放電〇N之1循環之電漿發光及放電電壓 Bias-Vpp、天線偏壓Ant. -Vdc之時間變化。電漿發光係 表示 CF(2 30.5nm) 、CF 2 ( 2 8 0 n m ) 、Α「 (4 1 9 . 8 η m )。發光之CF、CF2係表示基即電 漿中之化學物質之狀態,A r爲離子密度。又,放電電壓 Bias-Vpp表示電漿密度。天線偏壓Ant.-Vdc會對矽板1 1 5表面之F淸除反應、即電漿化學物質有影響。由圖9 之結果可知,發光CF、CF2、Ar及放電電壓Bias-VPP、天線偏壓Ant.-Vdc,不論是哪一個都是於放電〇N 中安定,板1 1 5之溫度變動之影響完全看不見。由此可 以確認,即使對於± 2 5 °C左右之溫度變動,電漿及化學 物質之狀態爲安定者。又,放電◦ F F之前之變動,係隨 著解除試料晶圓之靜電吸著之除電步驟而變動者,所以, 本紙張尺度適用中.國國家標準(CNS ) A4規格(210>< 297公釐^ :26- I---------1衣---------1T------^ (請先閱讀背面之注意事項再填寫本頁) 589675 A7 _ · _ B7 五、發明説明(24) 不是起因於溫度變動者。 更者,使用圖7之實施例之裝置,將確認製程之安定 性之結果,以圖1 〇表示。圖1 0係表示對於平樣品之蝕 刻量及蝕刻率之蝕刻時間之依存性之測定結果。板1 1 5 之溫度變動影響蝕刻特性時,以蝕刻量之線形性或蝕刻率 之變動來表現。但是,如由圖1 0可以,蝕刻量係與蝕刻 時間成比例增加,蝕刻率也不受蝕刻時間影響,很安定。 由這些情況可以確認,對於板1 1 5之± 2 5 °C左右之溫 度變動,不只是電漿狀態,連鈾刻特性也安定。 上述係表示1片之晶圓蝕刻處理中之製程安定性者, 當然,即使連續處理1組2 5片之晶圓,或即使連續處理 數組之晶圓,也可以獲得同樣安定之製程再現。更者,藉 由本實施例之電漿蝕刻裝置確認,數1 0 0 0片之晶圓連 續處理,以氧化膜之保持器或SAC (自身整合接觸)製 程,予以實施之結果,經過放電1 0 0小時以上,仍可以 獲得安定之再現性良好之蝕刻特性。 又,上述之各實施例,係都爲有磁場U H F電電磁波 放射放電方式之電漿處理裝置者,固定於UHF波之頻率 爲450 MHz所作之說明,但是,當然頻率並不只限於 此。又,被放射之電磁波,U H F帶之3 0 〇 Μ Η ζ到1 G Hz以外之,例如,2 · 4 5 GHz之微波、或數1 0 MHz到3 0 0 MHz左右之VHF帶也可。又,磁場強度 係針對對於 4 5 0 MHz之電子迴旋加速器共鳴磁場強度之160高 ^紙張尺度適用中國國家標準(〇~$)/\4規格(2丨0父297公釐) -27 - ---------扣衣--.--L--1T-------線 (請先閱讀背面之注意事項再填寫本頁) 589675 A7 B7 五、發明説明(25) 斯之情況作的說明,但是,不一定要使用共鳴磁場,比這 個強之磁場或相反的使用數1 〇高斯以下之弱磁場也可。 更者,不使用磁場之例如無磁場放電也可。又,製程氣體 之吹出,係不需要從板1 1 5進行,例如,從側壁導入氣 體也可以。更者,除上述之外,例如使用磁場之磁場型之 電漿處理裝置或平行平板型之容量結合方式電漿處理裝置 ,或電感耦合型之電漿處理裝置等,都可以使用於上述各 實施例。 又,上述之各實施例,都是處理對象爲半導體晶圓, 對於此之蝕刻處理之情況者’但是,本發明並不只限於此 ,例如處理對象爲液晶基板時也可以適用,又,處理自身 也不只限於蝕刻,例如,對於濺射或c V D處理也可以適 用。 依據本發明,對於面對晶圓之被設置於天線之矽製之 板1 1 5,施加V d c=— 1 0V〜一 3 0 〇7之偏壓, 溫度爲1 〇 〇 °C以上2 0 0 °C以下之範圍,有 ±2 5 °C以內之變動,而使板1 1 5之表面反應不受 溫度變動之影響而能安定,所以,可以提供製程特性之安 定性•再現性良好之電漿處理裝置及電漿處理方法。· 本紙張尺度適用中·國國家標準(CNS ) A4規格(210X297公釐) -28- 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁)

Claims (1)

  1. 經濟部智慧財產局R工消费合作社印製 589675 | 公 1 六、申請專利範圍 附件: 第9〇1〇2〇63號專利申請案 中文申請專利範圍修正本 民國91年6月修正 1 · 一種電漿處理裝置,係具有:真空處理室,及將 氣體供給該真空處理室之處理氣體供給手段,及將在真空 處理室內被處理之試料予以保持著之電極,及面對上述試 料而被設置於該真空處理室之3 Ο ΟΜΗ z到1 GH z之 有磁場或無磁場U H F頻帶電磁波放射放電方式的電漿產 生裝置,及將該真空處理室予以減壓之真空排氣系;其特 徵爲: 上述電漿產生裝置,係具有被設置於處理室內側之矽 製之板,上述矽製之板之電阻率爲1 Ω · cm以上1 〇 Ω • cm以下之範圍,且,上述矽製之板之厚度爲5mm以 上1 〇 m m以下,對於該矽製之板,施加V d c = - 5 0 V以上- 3 Ο Ο V以下之偏壓電壓,且,使上述板之表面 溫度爲1 0 〇 °C以上2 0 0 °C以下之範圍。 2 ·如申請專利範圍第1項所述之電漿處理裝置,其 中上述矽製之板電阻率約爲5 Ω · c m。 3 .如申請專利範圍第1或2項所述之電漿處理裝置 ,其中具有溫度調節手段,係含有導電體及介質,而構成 爲上述真空容器之壁之一部份之天線,該天線係,於挨著 上述導電體之電漿之側之面設置有上述矽製之板,該導電 體係藉由於內部循環之熱媒體,使溫度被維持於一定値者 本紙張尺度用t國國家標隼(CNS ) A4規格(210 X 297公ΙΓ Ι—Ί -----裝------訂------線 (請先閱讀背ν§之注意事項再填寫本頁)、 · 589675 88 C3 __ D3 六、申請專利範圍 〇 4 · 一種電漿處理方法,係藉由被設於真空處理室內 之UHF頻帶天線所放射之3 OOMHz至1 GHz之有 磁場或無磁場U H F頻帶之電磁波,與設置於上述真空處 理室之周圍之磁場形成手段所形成之磁場之相互作用,於 上述真空處理室內部產生電漿,對試料進行處理者;其特 徵爲: 對於面對上述試料而被設置於上述天線之板之電阻率 爲1Ω · cm以上10Ω · cm以下之範圍,而且板之厚 度爲5mm以上1 Omm以下之矽製之板,施加V d c = —1 0V〜一 3 0 0 V之偏壓,使該砂製之板之電阻率爲 1 Ω · c m以上1 〇 Ω · c m以下,以內部電阻之自身發 熱予以昇溫,控制上述板之溫度於1 0 〇 °C以上2 〇 〇它 以下之範圍,控制於±2 5 °C以內,藉由上述電漿來處理上 述試料。 5 ·如申請專利範圍第4項所述之電漿處理方法,其 中上述矽製之板之電阻率爲約5 Ω · c m。 ' 扣衣 訂 線 (請先閱讀背面之注意事項再填寫本頁), . 經濟部智慧財產局員工消费合作社印¾. 本紙浪尺度適用中國國家標华(CNS ) A4規格(2丨0 X 297公釐) -2 -
TW090102063A 2000-03-06 2001-02-01 Plasma treatment device and plasma treatment method TW589675B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000065769A JP3411539B2 (ja) 2000-03-06 2000-03-06 プラズマ処理装置及びプラズマ処理方法

Publications (1)

Publication Number Publication Date
TW589675B true TW589675B (en) 2004-06-01

Family

ID=18585240

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090102063A TW589675B (en) 2000-03-06 2001-02-01 Plasma treatment device and plasma treatment method

Country Status (4)

Country Link
US (1) US6506686B2 (zh)
JP (1) JP3411539B2 (zh)
KR (1) KR100518617B1 (zh)
TW (1) TW589675B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI427668B (zh) * 2007-06-05 2014-02-21 Tokyo Electron Ltd A plasma processing device, an electrode temperature adjusting device, and an electrode temperature adjusting method
TWI508632B (zh) * 2008-03-20 2015-11-11 Applied Materials Inc 電漿室中的可調式接地平面
CN109300762A (zh) * 2017-07-25 2019-02-01 圆益Ips股份有限公司 基板处理装置
US10790121B2 (en) 2017-04-07 2020-09-29 Applied Materials, Inc. Plasma density control on substrate edge

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1322556C (zh) * 2001-02-15 2007-06-20 东京毅力科创株式会社 被处理件的处理方法及处理装置
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
US20040040663A1 (en) * 2002-08-29 2004-03-04 Ryujiro Udo Plasma processing apparatus
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
KR100752800B1 (ko) * 2003-03-12 2007-08-29 동경 엘렉트론 주식회사 반도체처리용의 기판유지구조 및 플라즈마 처리장치
JP4547182B2 (ja) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
JP4616605B2 (ja) * 2004-09-27 2011-01-19 東京エレクトロン株式会社 プラズマ処理方法、プラズマ処理装置及び記憶媒体
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
JP4642528B2 (ja) * 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7993489B2 (en) 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
CN100467117C (zh) * 2005-09-05 2009-03-11 鸿富锦精密工业(深圳)有限公司 纳米粉体制备装置及制备方法
JP4935149B2 (ja) * 2006-03-30 2012-05-23 東京エレクトロン株式会社 プラズマ処理用の電極板及びプラズマ処理装置
JP4877748B2 (ja) * 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
JP4801522B2 (ja) * 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ 半導体製造装置及びプラズマ処理方法
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US20090000738A1 (en) * 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP5203986B2 (ja) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP5249064B2 (ja) 2009-01-19 2013-07-31 住友電気工業株式会社 回折型光学部品の製造方法
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP5630710B2 (ja) * 2011-01-31 2014-11-26 三菱マテリアル株式会社 プラズマエッチング用シリコン電極板
JP5713182B2 (ja) * 2011-01-31 2015-05-07 三菱マテリアル株式会社 プラズマエッチング用シリコン電極板
KR102133895B1 (ko) 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
CA3077700A1 (en) * 2017-10-19 2019-04-25 Wafer, Llc Polymer dispersed/shear aligned phase modulator device
CN107734826A (zh) * 2017-11-29 2018-02-23 中国人民解放军陆军工程大学 高真空环境下介质材料表面电位主动控制系统
JP7079947B2 (ja) * 2018-12-06 2022-06-03 東京エレクトロン株式会社 プラズマ処理装置
JP2020092033A (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251792B1 (en) * 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
JP3181364B2 (ja) * 1992-03-25 2001-07-03 東京エレクトロン株式会社 プラズマ処理装置
JPH08144072A (ja) * 1994-11-18 1996-06-04 Nec Corp ドライエッチング装置
KR100226366B1 (ko) * 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JP3583289B2 (ja) * 1998-05-28 2004-11-04 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI427668B (zh) * 2007-06-05 2014-02-21 Tokyo Electron Ltd A plasma processing device, an electrode temperature adjusting device, and an electrode temperature adjusting method
TWI508632B (zh) * 2008-03-20 2015-11-11 Applied Materials Inc 電漿室中的可調式接地平面
US10774423B2 (en) 2008-03-20 2020-09-15 Applied Materials, Inc. Tunable ground planes in plasma chambers
US10790121B2 (en) 2017-04-07 2020-09-29 Applied Materials, Inc. Plasma density control on substrate edge
US11495440B2 (en) 2017-04-07 2022-11-08 Applied Materials, Inc. Plasma density control on substrate edge
CN109300762A (zh) * 2017-07-25 2019-02-01 圆益Ips股份有限公司 基板处理装置

Also Published As

Publication number Publication date
JP2001250815A (ja) 2001-09-14
KR20010087195A (ko) 2001-09-15
KR100518617B1 (ko) 2005-10-04
US6506686B2 (en) 2003-01-14
JP3411539B2 (ja) 2003-06-03
US20010018951A1 (en) 2001-09-06

Similar Documents

Publication Publication Date Title
TW589675B (en) Plasma treatment device and plasma treatment method
TW455922B (en) Plasma processing apparatus
US6172321B1 (en) Method and apparatus for plasma processing apparatus
JP3764594B2 (ja) プラズマ処理方法
US6171438B1 (en) Plasma processing apparatus and plasma processing method
KR100471728B1 (ko) 플라즈마 처리장치
TWI465157B (zh) 用於處理多個基板之寬域射頻電漿裝置
JP4515755B2 (ja) 処理装置
JP3583289B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2943691B2 (ja) プラズマ処理装置
US20080062610A1 (en) Electrostatic chuck device
JP2007324154A (ja) プラズマ処理装置
JP2001057363A (ja) プラズマ処理装置及びプラズマ処理方法
JP4467667B2 (ja) プラズマ処理装置
JPH09320798A (ja) プラズマ処理装置
JP3712898B2 (ja) プラズマエッチング装置
KR100225571B1 (ko) 디스크 재생 장치
JP4073235B2 (ja) プラズマ処理装置用のプレート
JP3993493B2 (ja) プラズマエッチング装置
JP2000150487A (ja) プラズマ処理方法
JP3642773B2 (ja) プラズマ処理方法およびプラズマ処理装置
JP3077144B2 (ja) 試料保持装置
JP2000164563A (ja) プラズマ処理装置
JP3699416B2 (ja) プラズマ処理装置
JP2956640B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees