TW516123B - Stepped upper electrode for plasma processing uniformity - Google Patents

Stepped upper electrode for plasma processing uniformity Download PDF

Info

Publication number
TW516123B
TW516123B TW090125260A TW90125260A TW516123B TW 516123 B TW516123 B TW 516123B TW 090125260 A TW090125260 A TW 090125260A TW 90125260 A TW90125260 A TW 90125260A TW 516123 B TW516123 B TW 516123B
Authority
TW
Taiwan
Prior art keywords
electrode
ladder
plasma
central portion
patent application
Prior art date
Application number
TW090125260A
Other languages
English (en)
Inventor
Rajinder Dhindsa
Mukund Srinivasan
Aaron Eppler
Eric Lenz
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW516123B publication Critical patent/TW516123B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

516123 五、發明説明( 發明背景 發明範缚 一本'明大體上係關於高能量輻射或電漿之蝕刻,且尤我 種方法及衣備,用以於積體半導體電路的製造過程中, 控制一晶圓之晶粒邊緣處之該蝕刻速率。 相關技術之說明 自I960年代中期,積體半導體電路已成為大多數電子系 統之主要構件。這些小型的電子裝置可含有數以千計的電 晶體以及其他的電路’其構成微電腦中央處理單元之記憶 體及邏輯次系 '統。低的成本、高的可靠度、以及料電腦 晶二的速度使其成為現代數位電子工程之—項無所不在的 特徵。 積體電路晶片的製造典型上係始於—薄的、抛光的高 純,、早晶之半導體材料基板(諸如碎或鍺),稱為”晶圓" 。每個晶圓係經過一連串的物理及化學加工步驟,其於 該晶圓上形成不同的電路結構。於該製造過程中,不同 型式的薄膜會利用不同的技術沈積於該晶圓上,諸如熱 氧化以產生二氧化矽薄膜、化學蒸汽沈積以產生矽、二 氧化矽、以及氮化矽薄膜、以及濺射或其他技術以產生 其他的金屬薄膜。 於半導體晶圓上沈積一薄膜:之後,半導體之獨特電子特 性,係藉由使用稱為掺雜之—加工製程,將選定之不純物 取代進入該半導體晶格中所產生。該摻雜後之晶圓之後會 均勻的塗佈一薄層的感光劑,或輻射感應劑材料,稱為”阻 -4 - 本紙張尺度適用中國國家標準(CNS) A4規格(21〇 X 297公釐) 五 、發明説明( U於5亥電路中界定電子路徑之微小的幾 至該阻抗劑卜,菇士 _。I 木S轉和 , 9 一知之凸版印刷加工。於該凸版印 1 口工過程t,該積體電路之圖樣會⑽於— :::蓋遮罩,,’且之後光學分解、投射、並轉換至以該阻抗 d復盖之垓光感應塗佈層上。 之後該凸版印刷之阻抗劑係透過—種已知的蝕刻加工, 轉換至該下方的半導體材料之結晶表面上。傳統的敍刻加 工使用濕式的化學製品’其已證實會為該晶圓上所成形 之外形之尺寸及外觀比(亦㈣所造成⑽之高度*寬 比例)所限制。因此,能夠裝填於一單_濕式㈣晶圓:之 電路的數量,以及因此該電Η置之最終尺寸 學蝕刻加工製成所限制。 s馮化 隨後已經發展乾式、活性離子㈣、以及離子 銑削技術’用以克服化學姓刻的相關限制。尤其,電㈣ 刻能夠使得所產生之該垂直姓刻率,相當的大於該水平姓 刻率’如此所造成之該㈣外形之外觀比可以被適當的控 制。事實上,《蝕刻能夠於接近i微米厚度之薄膜上,形 成非常微七的外形,具有高的外觀比。 於電㈣刻加卫過程中,藉由將大量的能源加人一低壓 氣體中’而將-電聚係成形於該晶圓之遮罩表面上方。此 -過程的完成’通常係於大約請1λ氣壓力下之氣體中, 產生電子排放。該所產生之電毁可能含有離子、自由基、 以及具有高動能之自然物種。藉由調整欲餘刻之該基板之 電壓,於該電聚中排出之微粒可導向,以打擊在該晶圓之 -5- 516123 五、發明説明(3 未遮罩之區域,且藉此去除該基板上之原子。 藉由使用與該㈣刻金屬間具有化學反應性之氣體,該 钱刻加工製程可以更力 — 人+將 更力有效的進仃。故所謂的”反應離子蝕 乂。沙。"水之能讀刻效應,以及該氣體之化學#刻效應 6、’工心現6午多種化學活化劑,會造成過度的電極 才貝耗。 J里想的狀況係將該電漿均勻的分佈該晶圓的表面,以便 2夠在整個晶圓的表面上,獲得均勾的㈣速率。舉例而 吕’頒予—等人之美國專利第4,792,378號及第4,82〇,371 唬中:揭示一種蓮蓬頭電極,用以透過該電極上的多個孔 刀佈乳版〇故些專利大體上揭示一種氣體散佈碟,具有開 、、-置其可凋整以配合存在於一反應室内之特別的壓 :梯度’肖以將一均勻之氣體蒸汽流動,提供至一半導體 晶圓上。該氣體散佈碟所期望的功能如同一選擇性的遮蔽 ’以抵銷該碟下方的壓力梯度,且用以分佈於該晶圓之整 個表面上,提供通過該蓮蓬頭電極之一均勻的流動。任何 電漿排放系統中的不連續或不規則,諸如泵送口,亦會影 響該蓮蓮頭下方所產生之該電漿的密度。 ㈢/ f為該積體電路之製造過程對於微粒及不潔的污染物係 相當敏感,甚至小於i微米的^浮微粒物質,亦必須於該飯 d力過私中,防止與該晶圓:之表面接觸。因此,經常期 望f電聚限制在立即覆蓋且環繞該晶圓基板之區域。舉例 而吕,Sakata等人(美國專利第4,61〇,774號)揭示一種環狀壁 %濺射標的,其可於該濺射加工過程中將易於由該 本紙張尺度適财S㈣標準(⑽)城格(⑽χ 297公爱) -6- 516123 A7 _____ B7 __ 五、發明説明(4 ) 電漿逸散的這些電子,驅使及返回至該中心。同樣的, Ishii等人(美國專利第5,57i,366號)揭示一種氣體供給裝置, 用於一電漿處理裝備,具有一環狀突起,環繞其之周邊, 其可插作以將氣體集中於該欲加工之目標之標的表面。 Maydan等人(美國專利第5,643,394號)揭示一種電漿室蓋, 具有一環狀反射器,設計以聚焦一注射氣體,朝向一欲加 工之晶圓之中心。 電蒙處理典型於一平行板反應器裝置處理,其中該反應 器體積係由一對封閉間隔的電極板所界定。用以加工半導 體基板’諸如矽晶圓,之平行板電漿處理反應器之範例, 係揭示於美國專別第4,96〇,488號、第5,〇74,456號、第 5,569,356號、以及第6,073,577號中。然而,於一平行板電 黎反應器中平面電極之用途,可於橫過該基板之表面上, 造成一非均勻之電漿密度。典型上,於接近該基板邊緣之 區域中’該電漿密度係小於該角落處之密度。因此,於一 電裝钱刻加工‘過程中,使用一傳統的平行板反應器可於接 近欲加工之該基板之邊緣,造成減低的蝕刻速率。 特別形之電極以被揭示,以增進電漿加工過程中之均勻 性。舉例而言’見%Mundt等人(美國專利第4,297,162號)、 Kim等人(美國專利第5 99〇〇16號)、Mallon (美國專利第 5,628,869 號)、Donohue 等人(美國專利第 6 010 636 號)、 Sahmian (美國專利第5 716,485號)、Zajac (美國專利第 4,230,5 15號)。亦見於兩共同擁有之專利,頒予Mundt等人( 美國專利第5,472,565號及美國專利第5,714,031號)。 本紙張尺度適财@ g家標準(CNS) M規格(⑽㈣了公复) 五、發明説明(5 發明概述 本發明提供—種電極,用於— a 有-中央部分,包括 '電水反應至内。該電極具 ^ 括夕個氣體出口,诵過兮今触, 工虱體可由該電極之一義哈 。/乳體出口,加 極之-周邊部且面輸送出…梯係位於該電 央部分。該梯可增加至f—r之該電極之該中 該電漿的密度。节雪 ^之孩暴路表面相鄰處成形之 该電極之該中央 一較佳實施例中,誃 、 紐上為平面。於 M , L 士 σκ弟可疋位於該電極之一外邻用、喜 側’如此使該電極延伸越過該梯。夕"周邊之内 本發明亦提供—種方法— 祐。兮七、+ a 電漿室内處理一丰邕雜甘 板^方法包括··將_基板支撑於氏+M基 加工氣體至該室内;形成一電喂,广極上;供給— 露表面相冑;以及以該電' 上部電極之-暴 電極具有一中央部分及—二::丰導體基板。該上部 之一暴露表面凸出,且μ ^刀包括一梯,由其 。該梯提供一預伸環繞至少部分之該中央部分 、、疋之局部化的電漿密度,鱼哕電極之兮 暴露表面相鄰。該上部電極可為—一…亥電極之該 中央部分,其具有一式夕 ·、、、連逄碩電極,具有一 體排入該室内。3夕個虱體出口,用以將該加工氣 本發明亦提供一種電漿室,用以制、土 電漿室包括一頂部電極及 :”半導體裝置。該 面相互面向,且相互間隔二r二別具有個別的表 極包括-基板支樓。該頂部電極包括::隙。該底部電 梯,由其之周邊部分突出 央料’以及- 伸%繞至少部分之該中央 -8. 6 五、發明說明( 部分0該梯影響該挺厂丄 相鄰處成形之言^ 域中’與該頂部電極之該暴露表面 JS X电忒的局部化密度。該室亦可包括4鉍 蜋,固定於該底部+托 匕栝邊緣 之該梯作用,夢以:邊緣環可關連於該上部電極上 定之局部化的電^度與該電極之該暴露表面相鄰之一預 附圖之簡單說明 本發明現將進-步參考下列圖示加以說明: 圖1 A顯示根據本發 ^ . 哕帝 ^月之一貫靶例之一梯狀上部電極,其 "包。糸固定於一平行板電漿裝置中; 回帝Ή根據本發明之另-實施例之具有整體梯之一平 订反包装裝置之該晶圓邊、緣區域之詳細内容; 度 =顯示根據本發明之另一實施例之具有可調整厚 細 刀離梯之一平行板電漿裝置之該晶圓邊緣區之 内容; 圖2Α顯不根據本發明之—單一晶圓平行板電聚裝置之^ 晶圓邊緣區域之詳細内容;
,,係為圖形,顯示利用圖2Α之配置,以8.6英忖id J 梯狀上部電極,橫過-晶圓對於氈覆氧化蝕刻之蝕刻速ϋ 輪廓相較於使用傳統之平板上部電極之蝕刻速率之奉 廓; 圖2C係為一圖形,顯示於81>8(}中蝕刻接點之該蝕刻深方 上该梯之效應,具有〇.2微米之蝕刻寬度以及大約9:ι之外_ 比; 圖2D係為一圖形,顯示環繞位於該底部電極上之該晶 -9- 516123 五、發明説明( 邊緣環上,改變該傾斜量之該則速率輪廓上之 之輪廊,相較=1於㈣氧化㈣之钱刻速率 輪廊,於使用傳統之平板破上部電極之-刻速率之 BP=?:—圖形’’示利用-件切梯狀上部電極,於 η,目父於使用—傳統之平板矽上部之電極之蝕刻接點 之綠蝕刻深度; 圖4A顯示一雷極 •置,匕括一上部石夕電極,具有一笔 ::::D)矽梯’具有—外部周邊,由該電極之外部周邊向 圖4B係為—圖形’顯示使用圖4A之該配置 之該内側直徑之效應,在該蝕刻速率之輪廓。 ® 4C係為—圖形’顯示使用圖4A之該配置 狀(HER)之遠材料之則速率輪廓上之效應。 圖5仏為圖形’顯示梯内徑於該蝕刻速率輪廓之效應, 相較於一平板矽電極。 圖Dir、為钱刻外形之顯微圖#,其巾圖6A及6B係為顯 微圖片’分別顯示利用一平板石夕上部電極,姓刻進入一晶 圓之該邊緣及中央區域之外形’以及圖6C及6D係為顯微圖 片,分別顯示利用_ 士致上^ ^ A , 梯狀石夕上部電極,I虫刻進入一晶圓之 該邊緣及中央區域之外形;以及 圖7係為一圖形’顯示該電漿退出壓力對應RF動力比例, 裝 晶粒窄矽梯 該熱邊緣環 訂 -10 使用具有不同的梯狀幾何之梯狀碎上部電極對應-平板狀 矽上部電極。 “ 發明之詳細說明 本發明提供一種新穎的配置,用 ,處理的物,諸罐圓、平板顯示 改良之電漿處理之達成,係藉由修正該欲處理之基板之一 邊緣區域中之電襞密度。改良之均勾性可透過一梯電極之 使用而達成。進-步的改良可藉由修改環繞該欲加工之基 板之一熱的邊緣環(Hot Edge Ring ; HER)之材料、外形及/ 或動力而達成。 根據本*明之該梯狀電極可應用至電漿i虫刻加工過程中 將=便增加-平行板電聚反應室中接近晶圓之邊緣處之電 又如此以於在整個晶粒基板上,產生更加均勻的蝕 X、、率雖下文中將以其對於電漿蝕刻的優點,說明本 《明’但本發明亦用於其他需要均勻密度之電漿的應用中 ’諸如電漿強化化學蒸汽沈積及電漿清理。 立月提供種連蓬頭電極,具有一中央部分及一周邊 j刀具有τ梯,該梯可控制該點及所形成之電漿之局部化 山又垓周邊σ卩分包括至少一梯,位於該電極面向半導體 基板,諸如晶1],之表面上。該該梯可具有特別的形狀, 以藉由變化由該底部電極所關:連之該局部化動力,而改變 該電聚密度。利用位於一頂部電極上之一梯,與該底部電 極上之-邊緣環相結合,而能夠以平均的較大程度的控制 X邊本蝕刻速率。II由於該梯及邊緣環使用不同的材料, -11 - 五、發明説明(9 於該邊緣區域通過該電毁的聯結 整。另外,辞说另、喜緣s 了以進一步加以調 改,以產生一需要的:::::同的幾何外形亦可以修 ,該梯係定位於該電= 於—較佳實施例中 該電極延伸超過晶粒梯 二内側,如此使得 ^ ^ ^ , 幸乂 k貫施例中,該電才圣 “中央部分及/或該電極 ° 平面的。 之伸起過该梯之部分係大體上 本發明亦關於一種以一雷將泠w , 包括……4 ^處理一半導體基板之方法, 掩呈* Ώ # a電聚,該相對電 極具有一周邊部分,包括至少一 炻夕兮田t · 歸δ亥梯局部強化與該電 才之戎周邊部分相鄰之電漿密 之邊緣姓刻速率。 错此而能夠控制該晶圓 根據本發明之該梯狀電極提供多個優點 於電t處理期間,於諸如矽晶圓之^ ^ _ 7日日®之一基板之邊緣附近,允 “《密度之局部化增強或強化。該梯之外形、尺寸以 及位置’#由其本身或支撐該基板之—底部電極上之一邊 緣環共同作用’可對不同的加工系統,最佳化的提供均句 :蝕刻。再者’該蝕刻之均勻度可以最佳&,而不致於顯 著的影響其他蝕刻的特性’諸如於該晶圓之中央處之蝕刻 速率。該梯狀電極亦適用於許多不同的電極材料及加工氣 體。 - 於本發明中,接近該晶圓邊緣處之蝕刻速率係藉由變 化聯結通過該電漿之該局部化動力所控制。亦即,藉由 放置一梯或突起與該上部電極,於接近該晶圓之邊緣處 -12- 五 、發明説明( 品或中更多的電流係聯結通過节+將 極上之該梯亦使爷兩將& 、q电水。於該上部電 不々使4兒漿保護套 助於保持更均勻化的電裝密度,=:,輪廓’而有 该離子的能量。 t曰加δ亥邊緣區域中 連逄頭電極係為一較佳之梯 加以使用。該蓮蓬頭電描田总 /、根據本發明而 該電極夕士批、,、極取好包括多個氣體出口,延伸於 °目’的别端與後端表面 ; 位於該前端表面之一中央部 1 =體出口可被定 近該電極之前端表面之區域中。工氣體排至接 面之一周邊部分環适j 〃 。亥連逄頭電極之該前端表 位於該電極之該周邊 、邛刀。该梯係定 伸環繞該電極之該至少部分’最好係完全,延 A % ^ ^ s ·· 、〇刀。该接控制位於與該電極之1 =面相鄰處,成形之_之局部化密度::; 狀連逄頭電極之一較佳將 已括4梯 置。 电水反應室係為一半導體蝕刻裝 該頂部電極可以杯彳 石山何適當的材料成形,諸如石W、石々 石反化矽以及鋁。該梯可 敕 .土、矽、 令件(亦即機械或其他方法成形於 之:體之 一分離件並藉由連結(亦即冶全 牛之材科中),或可為 電極表面上。該梯可盘極=者)或其他方式固定於該- 。與該電極相同,該梯=二材料或不同材料所製造 石夕或鋁等材料所f ^ 碎 ' 碳化 ,所製造。然而’該梯可由介電材料,諸如石英 於本發明之另一較 a例中,於該上部電極上之該梯 本紙張尺度 516123 A7
裝 訂
k 五、 發明説明(12 於本發明之另—較佳實施例中,—電㈣❹於制造/ 半導體裝置,包括一頂部電彳;衣 * p电極及—底部電極,具有個別的
表面相互面向,且互相間隔 /、, 1U W 括-…β 4 —空隙。該底部電極包 二 部電極包括-中央部分及-梯定位於 〜頂邛龟極之一周邊部分,且 F刀延伸環繞該頂部電 之该中央部分。該梯控制相鄰該頂部電極之該 面 所形成該電漿之局部化密度。 乂 j於該«室之另-較佳實施例中,於該加工過程中續頂 ::電極接地且該底部電極係供給動力。然而,兩電極,可 二極可接地且該頂部電極可供給 於另-較佳實施例中,該底部電極進_步包括一奉獻的 、緣壤’環繞-靜電夾頭(ESC)。該邊緣環係為—可取代之 構件’可於-基板之加工過程中,易於變熱,且因此可稱 為-熱邊緣環(賊)。該邊緣環可由導體的電極材料所製造 ’諸如Sic及石夕,或介電材料所製造,諸如石英。藉由將該 邊緣環充電,可指定聯結通過該電聚之程度,以於欲加工 之-基板之該外側部分,提供一預定之局部化“邊緣”蝕刻 速率。SiC ’具有低的電容阻抗,將大致上較石夕產生一更快 的邊緣敍刻速率。石英及其他的介電體於該邊緣姓刻速率 上將具有較低的效應。 - 下文中所探討的多個附圖會顯示使用梯狀及傳統平板上 部電極配置之蝕刻速率均勻性之圖形。 圖1A顯示根據本’發明之一平行板電漿裝置之戴面視圖。 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公I) -15- A7 B7 五、發明説明(13 ) ^ ^極1〇在其周圍部份具有-梯11 ’該梯具有-内徑 ⑽及-外徑_基板或晶圓15安裝在_包含靜電爽 頭16之基板支撐件19±,該基板支撐件亦包含—邊緣環η ^物18。梯"包含-傾斜的内部表面,面向該晶圓 一 P表面平行與该該晶圓15以及一外部表面垂直於 該晶圓1 5,該外邻矣;此— 外。卩表面係定位於該電極1〇之一外部周邊之 内側。 圖1 sB顯示根據本發明之另一實施例之平行板電聚裝置 之4曰曰圓邊緣區域之細節。該上部電極iq係為—單件式 ^塊電極’具有-整體的梯11。該梯U具有—厚度⑴及 内P表面1 2 ’其與該上部電極之該中央部分形成一角 度U)。該梯亦具有一底部表面13及一外部表面ι4,其與 平行於該電極之該大體上平面之中央部分一平面形成一 又(r )如同圖1A之該實施例,該梯"係定位於該邊 緣環1 7之相對側面。 ^圖。,4不根據本發明之另_實施例之平行板電聚裝置之 該晶圓邊緣區谈^Γ 一 f p ° έ亥上部電極1 〇包括一梯3 0,以一 分離件的材料所开彡土、 α _ 立千-十斤形成所不之一凹槽32係延伸進入該晶粒 上,:極之該暴露表面。該分離梯配合進入該凹槽Μ,並 /¾極之表面大出。該梯3〇關於該電極之該暴露表面之 旱又可藉由引動為3丨調整:,該引動器透過該上部地及 之一開口 33連接至該梯。該引動器31之位置及由此該梯之 厚度可因此被調整至-適當i,諸如藉由該加X室外部所 控制之-機構。此一配置使得該有效梯厚度,能夠被調整 -16-
五、發明說明(14 B7 而然須置換該梯或該整個上部電極,舉例而言諸如,當 加工不同的基板及/或使用不同的加工氣體化學物品時。再 者藉由使用此一配置,該梯之厚度可於一多階段電漿加 工之步驟間(例如,雙花紋加工過程)變換,而無須開啟該電 漿室。 圖2 A顯不根據本發明之一實施例之平行板電漿裝置之該 曰曰圓邊緣區域之細節,其中該梯延伸至該電極之該外部邊 緣。一半導體基板為一晶圓22之型式,固定於一基板支撐 利用一靜電夾頭23。於所示之該實施例中,該晶圓具 有8英吋(2〇0mm)的直徑。然而,請瞭解本發明亦可應用至 其他尺寸之晶圓或墓板。對於一8英吋的晶圓而言,該梯21 之該内徑(ID)可設定為8.6英吋,如此使得該梯以始於超過 該晶_之邊緣外側ο」英对處。該梯外徑係同於該電極 (10.35英吋)之外徑,且該梯因此接觸一固定的圍體27。該 固定的圍體與-可移動之限制環28作用,以將該電製聚焦 與該晶圓表面上。該梯21具有_傾斜的表面與該電極之該 ,露表面形成-15〇度的角度⑷,且該梯之厚度係為〇125 央寸;及-碎熱邊緣環24環繞該基板支標 26。該邊緣環之内制表面料,以與該晶圓表面垂直之一 平面形成一角“。該上部電極2〇係由石夕物,且該梯 2 1 (丁、顯不為^ 一分離壞,直因卞“ t . 固疋(經由任何適當的技術,諸如 連接或機械固定)於該上部電極上。 一雙頻率平行板電漿 動力(雙頻率)係僅施 於下文中該圖形之數據係藉由使用 餘刻反應器所產生。於所有的情況下 -17-
516123 A7 --------- 57 五、發明説明(15 ) " -----〜· 加於該下部電極且該上部電極係接地,而該電極間之空隙 係大約1.3 c m。 圖2B係® $,顯示利用圖2八⑽)之配置之熟覆式氧化 钱刻,相較於利用傳統之平板上部電極(鲁)之鼓覆式氧化餘 xj之4蝕刻速率輪廓。於兩狀況下,係使用一矽熱邊緣環 '及石英如、、Ό環,且姓刻係於下列之條件下進行:1 5〇〇 pm 27 MHeRF動力;11〇〇 Watts 2則2之好動力;在 曰曰圓上52 mTorr之室壓力;135 sccm(標準狀態立方公分每 分鐘)之氬氣;15 sccm2C4F8氣體;1〇 sccm氧氣;底部電 極溫度-1 0 C,以及60秒的加工時間。 曰該圖形顯示為埃/分鐘之蝕刻速率,為由該晶圓中心所測 夏之該晶圓位置之函數。由圖可得知,該梯於該晶圓邊緣 處在蝕刻速率均勻性方面造成了戲劇性的改善。每個圖 形旁的數字係為蝕刻速率的平均值及蝕刻速率均勻性。蝕 刻速率均勻性係由下列方程式所計算: [(隶大-最小)/2*平均]* 1〇〇 〇/0 其中最大係為蝕刻速率的最大數值,且最小係為蝕刻速率的 最小數值:該蝕刻速率係利用購自Rud〇lph Techn〇1〇gies之橢 圓計,藉以測量蝕刻之前與之後晶圓的厚度。接近該晶圓邊 緣之0.5 mm的面積係排除於該厚度測量之外。之後於該邊緣 排除區域12 mm的範圍内,係每〇·5 mm量測一次。於該晶圓 之中央區域,量測會採取較低的頻率。 圖2C係一圖形,顯示kBPSG中接點之該蝕刻深度上該梯 的效應。該接點具有蝕刻寬度〇·2微米以及大約9.丨的外觀比 -18- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱) 516123 A7
五、發明説明(17 熱邊緣環材料,使用s 之該蝕刻速率之效應。lxSl亦具有增強接近該晶圓邊緣處 圖形,顯示利用一整體(一件式)之si梯狀上 覆式熱氧化钱刻中,相較於利用-傳統平板 之—&覆式氧化㈣之錢刻速率輪廟。 傾斜匕梯為0.125英叶後’且具有,度之 工條:该:刻加工係使用與前文圖2Β所述相同之加 於^ 了。—Μ熱邊緣環及-石英聯結環係被使用。 、矢刀、’里之該姓刻速率係描緣為該晶圓表面上由該晶圓中 心所測量之位置的函數。 由圖中可得知,該梯於接近該晶 “處之姓刻速率均句性上,產生一動態的強化。每個 圖形旁的數字係、為平㈣刻速率及㈣速率均勾性。 圖3Β係為一圖形’顯示於咖中利用前述之該相同的整 體义梯狀上部電極,在接點之該㈣深度該梯之效應。該接 點具有0.2微米之钱刻寬度,以及大約9:1的外觀比。前文圖 2C中所述之3亥加工條件將再被使用。該上部圖形()顯示蝕 刻深度為使用該梯狀電極之位置之函數。該下部圖形(春)顯 示使用傳同平板Si上部電極由該晶圓邊緣所測量之位置的 凼數。由圖中可得知’於該上部電極上該整體之梯增加該 外貌上該蝕刻深度之均勻性。每個圖形旁的數字係為該平 均蝕刻深度及該蝕刻深度均勻性。該蝕刻深度係由sem所 決定。 圖4A顯示一電極配置包括一上部電極4〇具有_窄的(小 OD)之Si梯41。該梯係〇·125英吋厚,且具有8.25英吋之内 -20- 本纸張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) 516123 A7 — B7 五、發明説明(18 ) 徑。一 8英吋晶圓42係顯示固定於一靜電夾頭45上。該 下部電極46亦包括一石英聯結環44及一邊緣環43。該邊 緣環43具有60。傾斜角(点)。由於該梯之小的外徑,因此 該梯48之外側表面與該固定的圍體47之内部表面間具有 一間隔空隙。一限制環49亦被顯示。於此一配置下利用 S i熱邊緣環,於前文圖2 B中所述之加工條件下,產生 一穩定的電漿。 圖4B係為一圖形,顯示利用圖4a之配置,梯内徑於該钱 刻速率輪廓上之效應。一氈覆式熱氧化晶圓係利用於前文 圖2B中所述之相同加工條件,進行蝕刻。於兩情況下之該 邊緣環材料,然而係為Sic而非si。該8〇英吋m之梯(籲)及 8.25英吋ID之梯(_)兩者皆造成邊緣快速的輪廓。然而,該 8.0英忖Π)之梯造成一較低的整體姓刻速率。 圖4Cir、為一圖形,顯示由SiC至石英改變該邊緣環材料的 效應。一氈覆式熱氧化晶圓係利用於前文圖2β中所述之相 同加工條件,進行蝕刻。圖4八之電極配置係被使用的。該 下部圖形(_)顯示兔用一石英熱邊緣環之該蝕刻速率輪廓。 4上部圖形(φ )使用一 Sic熱邊緣環之蝕刻速率。該介電體 石央之邊緣環減少該晶圓邊緣處之聯結,且因此於接近該 晶圓之邊緣處造成一相當低的,刻速率。該圖形之該邊緣 至邊緣的非均勻性,可能係歸因於該梯與該上部電極安置 錯誤或非均勻之接點。 圖5係為一圖形’顯示係利用於前文圖2B中所述之加工條 -21 - 件對A後式熱氧化姓刻,梯之ID對該姓刻速率輪靡之 效應。於所有的情況下’具有—標準15度傾斜之—S1邊緣 環及一石英聯結環俏姑佶田 衣係被使用的。該梯係由Si所製造,且具 有0 · 1英忖的厚度。於該上部φ 、 4电極具有8.25英忖内徑之梯(_ )’可獲彳寸一邊緣快速之輪廓。 + Ψ ^ 具有8.6央吋内徑之梯(▲), 可獲付^一大體上平i曰的敲歹丨丨;φ .玄*击人十 -的蝕刻速率輪廓。具有9 〇英吋内徑之梯 (·),該梯之效應會大大的读I α » # 的減小,且泫邊緣蝕刻速率會急速 的滑落。為達比較的目的,4丨丨m^ 利用傳統平板S i之上部電極(▼) 的該I虫刻速率亦被顯示。 圖6A-6D係為顯銜圖片,鞀 ^ ^ l 口 a 鋇不蝕刻進入一氈覆式熱氧化 晶圓之外貌。於圖6A及6B中,係利闬勹人 丁上L Λ ^ τ 你利用包含一平板上部電極 之電極配置I虫刻所得之外餘同 _ 于 < 外说。圖6八顯不接近該晶圓邊緣處 之該蝕刻外貌,而圖6Β顯示蛀折外曰问1 ^ ”、、貝不接近该晶圓中心處之該蝕刻外 貌。如圖6Α及6Β中所示,兮違从> w仏 遠邊緣之外貌並不如該中心外貌 一般的深蝕刻,因為接近兮曰圓、真妓由 ^日日圓邊、、木處之該低的钱刻速率 。於圖6C及6D中,係利用呈右厘 ⑺,、頁V子度0.1央吋及内徑8·6英吋 之梯的上部電極,姓刻所得之外呤 ‘ J伃之外说。圖0C顯示接近該晶圓 邊緣處利用該梯狀電極之該飯列外% Λ棘到外说,而圖6D顯示接近該 晶圓中心處利用該梯狀電極之兮從衣丨k > 兒位哀餘刻外貌。如圖6C及6D所 示,已蝕刻後之該邊緣及中本#夕认枯 ‘ ιλ 水叹甲夬處之外貌,有幾乎相同的深 度0 形,退出的壓 圖7係為一圖形,顯示對於不同梯幾何外 -22- 516123 A7 B7 五、發明説明(2〇 力對應該RF動力的比例。退出的壓力係低於該電漿不再 持績時之壓力。該RF動力比例係為以頻率27 MHz施加至 該下部電極的動力的總量,比上以頻率2廳所施加之動 力的總量。此一測試的進行,係使用表丨所列之該上部電 極幾何圖樣。 圖不 電極型式 梯内徑 (英吋) 梯外徑 (英吋) 梯厚度 (英对) ▲ 分離梯 8.6 10.35 .1 ♦ 整體梯 8.7 10.35 .125 整體梯 8.8 10.35 .125 • 平板電極 8.6 9 .1 X 分離梯 N/A N/A N/A • 整體梯 8.8 9.5 .125 如同圖7中之圖案所示,具有較小外徑(9及9·5英吋)之該 梯狀上部電極,相較於該大外徑(10.35英吋)之梯狀上部電 極,使泫電漿維持於一較低的壓力。於該上部電極上該小 OD梯之外側的空隙,提供額外的體積,用以為該電漿佔據 。可以確4言此一額外的體積使得該電漿被維持於較低的壓 力。 表π顯示li刻速率均勻性可以根據本發明之梯狀上部電 極,而實際加以改良。三個不同型式的晶圓係被加以測試 :氈覆式熱氧化、圖樣熱氧化以及BPSG接點。氈覆式熱氧 化晶圓的測量係利用橢圓計,沿著該晶圓之直徑(邊緣至邊 -23- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 516123 五、發明説明(21 緣)進行測量。BPSG接點深度的測量係利用SEM,沿著一半 徑(中央至邊緣)進行測量。圖樣熱氧化晶圓之測量係利用輪 廓曲線計,且沿著邊緣至邊緣進行。該梯狀電極係為一以 電極,具有Ο·1英吋的Si梯,其具有150度的傾斜角(α)。 矣ΤΤ
蝕刻速率均勻性所指定之百分比係利用下列方程式計算: [(最大-最小)/2*平均]* 1 〇〇〇/〇 由表II可以得知,該梯灿φ 士 3梯狀電極的使用大大的增加該蝕 速率均勻性。 該梯之内側及外側表面最好 取計具有構造,以於該電極及哕 梯之頂部間提供一逐漸的 研旳過渡區域。於一較佳實施例中, 該梯具有-一梯形的橫剖面, J ^而該梯之内側及外側表面,與 該電極之大體上平面的中I 、 ^干央部分,形成一90度或更大 度。然而’该梯可具有直他 一他的棱切面外形。該梯之内側及 外側表面並非必須為平面,而 而可為凹面或凸面。該梯亦可 具有非平面的上部表面。棗 _ , 例而g,該梯之橫切面可為二 角形的或半球體,或者兮说1^ 亥梯可採用某些其他的結構,包括 -24- 複雜的曲率。 如剐文所特別提及者,相 該内徑或配置,可加以調整,^曰達:圓之邊緣之該梯的 輪廊。該梯之該内徑可小於,=到:預期之_速率 二該梯之位置可關於其他的加工參數:=晶圓之直 供该預期夕h +丨、+ 以δ周整,精以接 的參數,包括,梯率:廓。用以決定敍刻速率輪廓之其他 邊緣環之角度或度數)以及該梯之之材料。該 刻速率輪斤h 製造該環之材料,亦可影響該敍 介命值亦即’藉由改變該梯及該邊緣環之該材料之 :U底部電極的聯結會於該晶圓邊緣區域變化 二該邊緣環係為介電材料,諸如石英,動力將; 忒晶圓,超過該邊緣環。然而,若,一更佳之導 ’諸如石夕或碳化石夕’係被用作為該邊緣環,則該動 可聯結較大的程度通過該邊緣環以及該晶圓。 於该平行板電浆裝置中該電極間之距離,會相當的影塑 :梯區域中該蝕刻速率輪廓。一般而言,當該空隙的寬‘ 、於上。卩電極之该梯對控制邊緣餘刻速率的影響越 ^然而,若以一非常小的空隙寬度利用梯狀電極,可能-會造成該邊緣區域中電漿的不穩定。該適當的空隙寬度的 選擇’部分取決於加工過程中所使用之氣體的壓力。於較 南之氣體壓力下(>75 mTorr至1 Torr),該空隙寬度,舉 例而言’可在範圍1.〇至15cm之間。於低壓時(1〇-75 mT〇rr) ’ 1.3至2·0 cm的空隙寬度可能係較佳的。該空隙寬度亦可關 -25- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱) 516123 五、發明説明(23 連於把加於該電極上之該動力之頻率而加以調整。已經 現低的頻率與較大的空隙寬度,係有功效的,而 率可與較小的空隙寬度—起使用。 ^ 雖然a附圖所示之該梯完全延伸環繞該電極之該前端表面 之中央。,但亦有可能包括—或多個梯,其僅部分 伸環繞該電極之周邊。 ;的加工氣體將取決於欲餘刻之材料。對介電材料, 諸如乳化續,該加工氣體可包括,…以及氟碳化物,諸如 =、他以及CHF3。然而,這些材料僅為範例。再者, 孩氣體可於該钱刻過程中改變。 雖然5亥電極業已說明並描繪於附圖中,稱為f,頂部"或” 上F a極4裝置亦可具有構造使得欲#刻之該基板, 被支撐使其加工側面向下,而非如圖所示面向上。夾且 裝置於本技藝中係已知的,其可用以達成此一定向。再 者具有非圓形晶圓形狀之基板,亦可利用本發明加工 ’、、i而於β亥上邛电極上之該梯,一般與欲加工之標的 的外形相一致。 雖然本發明業已關於其之較佳實施例加以說明,精於本 技藝者將可瞭解’纟文中未明確指出之附加物、刪除、修 改以及代用品,亦可以推;f十而χ、五匕 J 以進仃而不延背本發明附屬之申請專 利範圍中所界定之精神及範圍^ -26- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱)

Claims (1)

  1. 516123 第090125260號專利申請案 g 中文申請專利範圍修正本(91年11月) C8 D8 六、申請專利範圍 1. 一種蓮蓬頭電極,用於一電漿反應室内,該電極包括: 一中央部分; 多個氣體出口位於該電極之該中央部分,通過該中央 部分加工氣體可由該電極之一暴露表面輸送出;以及 一梯由該電極之該暴露表面突出,該梯係定位於該電 極之一周邊部分,且至少部分延伸環繞該電極之該中央 部'分,該梯控制相鄰於該電極之該暴露表面所形成之該 電漿之局部化密度。 2. 如申請專利範圍第1項之電極,其中該電極之該中央部分 大體上平面的。 3. 如申請專利範圍第2項之電極,其中該梯具有一内側表面 ,相鄰於該電極之該中央部分、一底部表面大體上平行 於該電極之該大體上平面之表面以及一外部表面,相 對於該内部表面。 4. 如申請專利範圍第1項之電極,其中該梯由該電極之外側 周邊向内定位,如此使得該電極之一部分延伸超過該梯 〇 5. 如申請專利範圍第3項之電極,其中該梯之内側表面,關 於該電極之大體上平面之中央部分,形成一純角。 6. 如申請專利範圍第5項之電極,其中該内側表面,關於該 電極之大體上平面之中央部分,形成90度或更大的角度 ,且該梯之外側表面,與平行於該電極之大體上平面之 中央部分之一平面,形成90度或更大的角度。 7. 如申請專利範圍第1項之電極,其中該梯係為整體零件之 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 516123 A8 B8 C8 D8 六、申請專利範圍 一件式一體的梯狀電極。 8. 如申請專利範圍第1項之電極,其中該梯形成為分離件, 且連接在該電極之該暴露表面上。 9. 如申請專利範圍第1項之電極,其中該梯包括一分離件, 可移動的固定於該電極之一凹槽中,如此使得該梯之厚 度可以被調整。 10. 如申請專利範圍第1項之電極,其中該梯完整的延伸環繞 該電極之該中央部分 。 11. 如申請專利範圍第1項之電極,其中製造該電極之材料係 由碎、碳化碎、石墨以及4呂所構成之群組中選出。 12. —種於電漿室内處理半導體基板之方法,該方法包括: 將該半導體基板支撐於一底部電極上; 將加工氣體供給至該室; 形成一電漿,與一上部電極之暴露表面相鄰;以及 以該電漿處理該半導體基板;
    其中該上部電極具有一中央部分及一周邊部分環繞該 中央部分,該周邊部分包括一梯,由其之一暴露表面凸 出,且至少部分延伸環繞該中央部分,該梯提供成形相 鄰於該電極之該暴露表面之該電漿之一預定之局部化密 度。 13. 如申請專利範圍第12項之方法,其中該上部電極係為一 蓮蓬頭電極包括多個氣體出口,且晶粒加工氣體係通過 該氣體出口,排入該室内。 14. 如申請專利範圍第12項之方法,其中該梯係由電極之外 -2- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 516123 A8 B8 C8 D8 六、申請專利範圍 側周邊向内側定位,如此使得該電極之部分延伸超過該 梯。 15. 如申請專利範圍第12項之方法,其中該半導體基板包括 一半導體晶圓,且該加工步驟包括以該電漿蚀刻該半導 體晶圓。 16. 如申請專利範圍第12項之方法,其中該上部電極係接地 ,且該底部電極會於該加工步驟中供給動力。 17. 如申請專利範圍第12項之方法,其中該電漿室另包括一 邊緣環,固定於該底部電極上,該邊緣環包括一凹下的 部分,位於固定於該底部電極之該半導體基板之外側周 邊之下方,至少部分之該邊緣環面向該梯,且與其共同 作用以提供該電漿之該預定之局部化密度。 18. 如申請專利範圍第12項之方法,其中該梯包括一材料之 分離件可移動的固定於該上部電極中之一凹槽内,如此 使得該梯之厚度可以調整且該方法進一步包括步騾,移 動該梯以提供一預期之梯厚度。 19. 一種用以製造半導體裝置之電漿室,該電漿室包括一頂 部電極及一底部電極具有個別的表面相互面向,且相互 間隔藉以於其間界定一空隙,該底部電極包括一基板支 撐,且該頂部電極具有一暴露表面,包括一中央部分及 一梯由其之一周邊部分凸出,且至少部分延伸環繞該中 央部分,該梯控制成形相鄰於該頂部電極之該暴露表面 之該電漿之局部化密度。 20. 如申請專利範圍第19項之電漿室,其中該頂部電極係為 -3- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 516123 A B c D 七、申請專利範圍 一蓮蓬頭電極包括多個氣體出口,加工氣體可通過該出 口而輸送進入該室内。 21. 如申請專利範圍第19項之電漿室,其中該頂部電極之該 中央部分係為大體上的平面。 22. 如申請專利範圍第19項之電漿室,另包括一邊緣環固定 於該底部電極,該邊緣環包括一凹下部份,位於固定於 該下部電極之該半導體基板之外側周邊之下方。 23. 如申請專利範圍第21項之電漿室,其中該梯具有一内側 表面,與該頂部電極之該中央部分相鄰、一底部表面大 體上平行於該大體上平面之該該頂部電極之中央部分、 以及一外側表面相對於該内側表面。 24. 如申請專利範圍第23項之電漿室,其中該梯之内侧表面 與該梯之外側表面兩者,關於平行於該大體上平面之中 央部分之一平面,形成一 90度或更大的角度。 25. 如申請專利範圍第19項之電漿室,其中該梯包括一分離 件可移動的固定於該頂部電極上之一凹槽内,如此使得 該梯之厚度係可調整的。 26. 如申請專利範圍第19項之電漿室,其中該梯係成形為一 分離件,且連接至該頂部電極之該暴露表面。 27. 如申請專利範圍第22項之電漿室,其中該電漿室係為一 半導體蝕刻裝備。 28. 如申請專利範圍第22項之電漿室,其中該頂部電極之製 造材料係由矽、碳化矽、石墨以及鋁所構成之群組中選 出,且該邊緣環之製造材料係由石英、矽、碳化矽、石 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 516123 A BCD 々、申請專利範圍 墨以及鋁所構成之群組中選出。 29. 如申請專利範圍第22項之電漿室,其中該邊緣環包括一 傾斜的表面,與該凹下部份相鄰。 30. 如申請專利範圍第29項之電漿室,其中該傾斜表面關 於垂直於基板支撐於其上之該底部電極之表面之一平面 ,形成一 5至60度的角度。 31. 如申請專利範圍第22項之電漿室,其中該邊緣環之製造 材料係由石英、碎、碳化碎、石墨以及銘所構成之群組 中選出。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
TW090125260A 2000-10-13 2001-10-12 Stepped upper electrode for plasma processing uniformity TW516123B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/689,845 US6391787B1 (en) 2000-10-13 2000-10-13 Stepped upper electrode for plasma processing uniformity

Publications (1)

Publication Number Publication Date
TW516123B true TW516123B (en) 2003-01-01

Family

ID=24770099

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090125260A TW516123B (en) 2000-10-13 2001-10-12 Stepped upper electrode for plasma processing uniformity

Country Status (10)

Country Link
US (2) US6391787B1 (zh)
EP (1) EP1336191B1 (zh)
JP (1) JP4180913B2 (zh)
KR (3) KR101118003B1 (zh)
CN (2) CN100437930C (zh)
AT (1) ATE453206T1 (zh)
AU (1) AU2002211886A1 (zh)
DE (1) DE60140893D1 (zh)
TW (1) TW516123B (zh)
WO (1) WO2002031859A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI454186B (zh) * 2003-09-04 2014-09-21 Tokyo Electron Ltd Plasma processing device

Families Citing this family (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
KR100397891B1 (ko) * 2001-07-25 2003-09-19 삼성전자주식회사 반도체 장치 식각설비의 척 조립체
WO2003025982A1 (en) * 2001-09-17 2003-03-27 Advion Biosciences, Inc. Uniform patterning for deep reactive ion etching
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
TWI272877B (en) * 2001-12-13 2007-02-01 Tokyo Electron Ltd Ring mechanism, and plasma processing device using the ring mechanism
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US7861667B2 (en) 2002-05-23 2011-01-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7850174B2 (en) * 2003-01-07 2010-12-14 Tokyo Electron Limited Plasma processing apparatus and focus ring
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
US8382942B2 (en) * 2003-03-21 2013-02-26 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
TWI488236B (zh) * 2003-09-05 2015-06-11 Tokyo Electron Ltd Focusing ring and plasma processing device
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US20050130620A1 (en) * 2003-12-16 2005-06-16 Andreas Fischer Segmented radio frequency electrode apparatus and method for uniformity control
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP2005217240A (ja) * 2004-01-30 2005-08-11 Matsushita Electric Ind Co Ltd ドライエッチング装置およびドライエッチング方法
US20050193951A1 (en) * 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
JP4647228B2 (ja) * 2004-04-01 2011-03-09 株式会社ディスコ ウェーハの加工方法
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US7601246B2 (en) * 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7563633B2 (en) * 2006-08-25 2009-07-21 Robert Bosch Gmbh Microelectromechanical systems encapsulation process
US20080066868A1 (en) * 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
KR100769522B1 (ko) * 2006-10-25 2007-11-06 주식회사 유진테크 화학기상증착장치의 샤워헤드
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US20080296261A1 (en) * 2007-06-01 2008-12-04 Nordson Corporation Apparatus and methods for improving treatment uniformity in a plasma process
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
CN101687229B (zh) * 2007-07-12 2012-01-18 应用材料股份有限公司 将基板置中设置于处理室内的设备及方法
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
CN102124820B (zh) * 2008-08-19 2014-09-10 朗姆研究公司 用于静电卡盘的边缘环
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
JP5635001B2 (ja) * 2008-09-26 2014-12-03 ラム リサーチ コーポレーションLam Research Corporation 結合リングをクロック回転させることによって調整可能な静電チャックとホットエッジリングとの間の熱的接触
US9493875B2 (en) 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
KR101592061B1 (ko) * 2008-10-31 2016-02-04 램 리써치 코포레이션 플라즈마 프로세싱 챔버의 하부 전극 어셈블리
CN101740298B (zh) 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8253058B2 (en) * 2009-03-19 2012-08-28 Integrated Photovoltaics, Incorporated Hybrid nozzle for plasma spraying silicon
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
WO2011002803A2 (en) * 2009-06-30 2011-01-06 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8983631B2 (en) 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8618807B2 (en) 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8538572B2 (en) 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8357263B2 (en) * 2010-10-05 2013-01-22 Skyworks Solutions, Inc. Apparatus and methods for electrical measurements in a plasma etcher
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
JP5912637B2 (ja) * 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
EP2654070A1 (fr) 2012-04-16 2013-10-23 INDEOtec SA Réacteur plasma de type capacitif pour le dépôt de films minces
US9252002B2 (en) * 2012-07-17 2016-02-02 Applied Materials, Inc. Two piece shutter disk assembly for a substrate process chamber
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9393666B2 (en) 2013-12-20 2016-07-19 Lam Research Corporation Adapter plate for polishing and cleaning electrodes
JP2015162558A (ja) 2014-02-27 2015-09-07 東京エレクトロン株式会社 プラズマ処理装置及び被処理体を処理する方法
KR101640488B1 (ko) * 2014-09-16 2016-07-25 주식회사 월덱스 플라즈마 에칭장치용 일렉트로드의 결합구조 및 결합방법
US10115573B2 (en) * 2014-10-14 2018-10-30 Applied Materials, Inc. Apparatus for high compressive stress film deposition to improve kit life
CN105185732A (zh) * 2015-08-24 2015-12-23 沈阳拓荆科技有限公司 一种可改变晶圆表面薄膜形貌的陶瓷环
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
KR102689380B1 (ko) 2016-01-26 2024-07-26 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
CN116110846A (zh) 2016-01-26 2023-05-12 应用材料公司 晶片边缘环升降解决方案
CN109156074B (zh) 2016-03-03 2021-12-28 核心技术株式会社 等离子体处理装置及等离子处理用反应容器的结构
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
CN109961999B (zh) * 2017-12-22 2021-03-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头及防止聚合物积聚的方法
US20190244793A1 (en) * 2018-02-05 2019-08-08 Lam Research Corporation Tapered upper electrode for uniformity control in plasma processing
JP7404268B2 (ja) 2018-04-18 2023-12-25 アプライド マテリアルズ インコーポレイテッド 自己センタリング特徴を有するツーピースシャッタディスクアセンブリ
US11251028B2 (en) 2018-05-12 2022-02-15 Applied Materials, Inc. Pre-clean chamber with integrated shutter garage
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7541005B2 (ja) 2018-12-03 2024-08-27 アプライド マテリアルズ インコーポレイテッド チャックとアーク放電に関する性能が改良された静電チャック設計
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR20200131432A (ko) 2019-05-14 2020-11-24 삼성전자주식회사 샤워 헤드 어셈블리 및 이를 갖는 플라즈마 처리 장치
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JP7489896B2 (ja) 2020-10-22 2024-05-24 東京エレクトロン株式会社 プラズマ処理装置
KR102580583B1 (ko) * 2021-08-10 2023-09-21 피에스케이 주식회사 기판 처리 장치
WO2023043091A1 (ko) * 2021-09-14 2023-03-23 주식회사 티이엠 조립형 프로파일 상부 전극 및 이를 포함하는 플라즈마 처리 장치
KR102617128B1 (ko) * 2021-09-14 2023-12-27 주식회사 케이씨파츠텍 조립형 프로파일 상부 전극 및 이를 포함하는 플라즈마 처리 장치
KR20240142549A (ko) * 2022-02-09 2024-09-30 램 리써치 코포레이션 밀폐 링을 사용하는 라디칼 에칭에서의 에칭 균일성 개선

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4792379A (en) * 1984-04-18 1988-12-20 Long Mile Rubber Company Apparatus for recapping a tire with a flexible segmented mold
US4610774A (en) * 1984-11-14 1986-09-09 Hitachi, Ltd. Target for sputtering
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH0529275A (ja) * 1991-07-23 1993-02-05 Kokusai Electric Co Ltd プラズマエツチング方法及び装置
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5298103A (en) * 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5472565A (en) * 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
TW299559B (zh) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5628869A (en) * 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US6010636A (en) * 1995-12-29 2000-01-04 Lam Research Corporation Electrode with domes for plasma focusing
JPH09306896A (ja) * 1996-03-15 1997-11-28 Sumitomo Metal Ind Ltd プラズマ処理装置およびプラズマ処理方法
JPH1064831A (ja) 1996-08-20 1998-03-06 Fujitsu Ltd 気相成長装置
KR100252210B1 (ko) * 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI454186B (zh) * 2003-09-04 2014-09-21 Tokyo Electron Ltd Plasma processing device

Also Published As

Publication number Publication date
CN100437930C (zh) 2008-11-26
EP1336191A2 (en) 2003-08-20
EP1336191B1 (en) 2009-12-23
WO2002031859A9 (en) 2003-05-22
CN1723528A (zh) 2006-01-18
AU2002211886A1 (en) 2002-04-22
US6391787B1 (en) 2002-05-21
WO2002031859A2 (en) 2002-04-18
KR101028385B1 (ko) 2011-04-13
JP4180913B2 (ja) 2008-11-12
DE60140893D1 (de) 2010-02-04
ATE453206T1 (de) 2010-01-15
KR20100124350A (ko) 2010-11-26
US20020187647A1 (en) 2002-12-12
KR20040005836A (ko) 2004-01-16
KR101118003B1 (ko) 2012-02-24
WO2002031859A3 (en) 2002-09-12
KR20080034047A (ko) 2008-04-17
JP2004511906A (ja) 2004-04-15
US6824627B2 (en) 2004-11-30
KR100831193B1 (ko) 2008-05-21
CN101308779A (zh) 2008-11-19
CN100589228C (zh) 2010-02-10

Similar Documents

Publication Publication Date Title
TW516123B (en) Stepped upper electrode for plasma processing uniformity
TW414971B (en) Semiconductor process chamber electrode and method for making the same
US5707485A (en) Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JP4890734B2 (ja) 低汚染プラズマチャンバ構成部品とその製造方法
TW520405B (en) Methods for etching a trench in a silicon layer
TWI390625B (zh) 電漿蝕刻加工期間保護矽或碳化矽電極表面免於形態改質之方法
TWI249205B (en) Method for improving uniformity and reducing etch rate variation of etching polysilicon
TW201703116A (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
TWI684218B (zh) 蝕刻方法(三)
KR101333744B1 (ko) 포토리소그래픽 레티클을 프로세싱하기 위한 방법
JPS5922374B2 (ja) プラズマエッチングによるデバイスの製造方法
TW439142B (en) Etching apparatus, etching method and manufacturing method of a semiconductor device
TW563199B (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
JP2001506421A (ja) プラズマ誘発帯電損傷を低減するための方法
TW561508B (en) Methods and apparatus for etching metal layers on substrates
TW201230193A (en) Showerhead configurations for plasma reactors
JP2004111779A (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
WO2000031787A1 (fr) Dispositif de gravure a sec et procede de gravure a sec
TW200401946A (en) Process for etching photomasks
TW396451B (en) Apparatus for improving etch uniformity and methods therefor
JP2000216148A (ja) ドライエッチングを含むデバイスの製作プロセス
US20180158653A1 (en) Composite plasma modulator for plasma chamber
TW200411718A (en) Method for enhancing critical dimension uniformity after etch
KR20180031228A (ko) 탄탈륨 박막의 식각방법
WO2000029640A1 (en) Method for residue-free anisotropic etching of aluminum and its alloys

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent