KR20100124350A - 플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부 전극 - Google Patents

플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부 전극 Download PDF

Info

Publication number
KR20100124350A
KR20100124350A KR1020107024608A KR20107024608A KR20100124350A KR 20100124350 A KR20100124350 A KR 20100124350A KR 1020107024608 A KR1020107024608 A KR 1020107024608A KR 20107024608 A KR20107024608 A KR 20107024608A KR 20100124350 A KR20100124350 A KR 20100124350A
Authority
KR
South Korea
Prior art keywords
electrode
upper electrode
stepped portion
plasma
central portion
Prior art date
Application number
KR1020107024608A
Other languages
English (en)
Other versions
KR101118003B1 (ko
Inventor
라진더 딘드사
무쿤드 스리니바산
아론 에플러
에릭 렌즈
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100124350A publication Critical patent/KR20100124350A/ko
Application granted granted Critical
Publication of KR101118003B1 publication Critical patent/KR101118003B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Abstract

플라즈마를 형성하는 공정 가스를 방출하는 가스 출구를 포함하는 중앙부와 이 가스 출구를 실질적으로 둘러싸고 있는 주변부를 가진 앞면(front surface)을 가지는 플라즈마 방전 전극에 대하여 개시한다. 주변부는 전극에 의하여 형성되는 플라즈마의 밀도를 제어하기 위한 적어도 하나의 단차부를 가진다. 이 전극은 플라즈마 식각 장치와 같은 평행판 플라즈마 기판 처리 장치의 접지된 상부 전극으로 사용될 수 있다. 웨이퍼 표면 전체에 걸쳐서 원하는 식각율 프로파일을 얻을 수 있도록 단차부와 하부 전극 상의 이에 대응하는 에지 링의 기하학적인 모양을 변화시킬 수 있다.

Description

플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부 전극{Stepped upper electrode for plasma processing uniformity}
본 발명은 고에너지 복사(radiation) 또는 플라즈마를 사용하는 식각에 관한 것으로, 보다 구체적으로는, 반도체 집적 회로 제조 공정에서 웨이퍼 가장자리에서의 식각율을 제어하기 위한 방법 및 장치에 관한 것이다.
1960년대 중반이래, 대부분의 전자 시스템에서 반도체 집적 회로가 주요한 구성 요소가 되었다. 이 소형 전자 소자(miniature electronic device)는 수천 개의 트랜지스터와 마이크로컴퓨터의 중앙 처리 장치의 메모리 및 논리 서브시스템을 구성하는 다른 회로들을 포함할 수 있다. 이들 컴퓨터 칩은 저비용, 높은 신뢰성 및 빠른 스피드를 보여주기 때문에, 모뎀 디지털 전자기기(modem digital electronics)의 도처에 산재하고 있다.
집적 회로 칩을 제조하는 공정은 "웨이퍼"라고 불리는 얇고 연마된 고순도의 단결정 반도체 물질(예를 들어, 실리콘 또는 게르마늄)로 된 기판을 가지고 시작한다. 각 웨이퍼에는 일련의 물리적, 화학적 공정 단계를 거쳐서 웨이퍼 상에 여러 가지 구조의 회로가 형성된다. 제조 공정이 진행되는 동안, 여러 가지 유형의 박막을 여러 가지 공정 기술을 사용하여 웨이퍼 상에 증착할 수 있는데, 예를 들어 실리콘 산화막을 형성하기 위한 열산화법, 실리콘막, 실리콘 산화막, 및 실리콘 질화막을 형성하기 위한 화학 기상 증착법, 그리고 다른 금속막을 형성하기 위한 스퍼터링법이나 다른 기술이 있다.
반도체 웨이퍼 상에 막을 증착한 다음에는, 도핑이라는 공정을 사용하여 임의의 불순물을 반도체 결정 격자와 대체시킴으로써 반도체 고유의 전기적 특성을 만든다. 다음으로, "레지스트"라고 불리는 얇은 감광성(photosensitive), 또는 감복사성(radiation sensitive) 물질층으로 도핑된 실리콘 웨이퍼를 균일하게 코팅한다. 다음으로, 리소그라피 공정으로 알려진 공정을 사용하여 회로에서 전자의 통로를 한정하는 미세한 기하학적 패턴을 레지스트 상에 전사한다. 리소그라피 공정을 진행하는 동안에, "마스크"라고 불리는 유리판(glass plate)에 집적 회로 패턴을 그리고, 이 집적 회로 패턴을 광학적으로 축소, 투사하여 레지스트를 덮고 있는 감광성 코팅 상에 전사한다.
다음으로, 식각 공정(etching)으로 알려진 공정을 사용하여 리소그라피 공정으로 만든 레지스트 패턴을 그 하부에 위치한 반도체 물질의 결정 표면에 전사한다. 종래의 식각 공정은 습식 화학 물질을 사용하였는데, 이 방법은 웨이퍼 상에 형성할 수 있는 패턴(feature)의 크기 및 종횡비(즉, 공정의 결과로 생기는 노치(notch)의 폭에 대한 높이의 비)의 측면에서 볼 때, 한계가 있는 것으로 입증되었다. 결론적으로, 종래의 습식 식각 공정을 사용하는 경우에는 습식 식각 처리되는 하나의 웨이퍼에 집적할 수 있는 회로 수가 제한되었으며, 따라서 전기 소자의 궁극적인 크기가 제한되었다.
화학적인 식각과 관련되어 있는 제한을 극복하기 위하여 건식 플라즈마 식각, 반응성 이온 식각 및 이온 밀링(ion milling) 기술이 나중에 개발되었다. 특히, 플라즈마 식각을 사용하면 수평 식각율보다 수직 식각율이 훨씬 크도록 할 수 있기 때문에, 식각된 패턴의 결과적인 종횡비를 적절하게 제어할 수 있다. 실제로, 플라즈마 식각 공정을 사용하면 두께가 약 1마이크로미터 정도인 막에 큰 종횡비를 가진 아주 미세한 패턴을 형성할 수가 있다.
플라즈마 식각 공정 동안에, 낮은 압력에서 가스에 많은 양의 에너지를 가함으로써 마스크된(masked) 웨이퍼의 표면 상부에 플라즈마를 형성한다. 이것은 보통 약 0.001대기압에서 가스에 전기 방전을 생성시킴으로써 달성된다. 생성된 플라즈마에는 높은 운동 에너지를 가진 이온, 자유 라디칼, 및 중성 원자가 포함될 수 있다. 피식각 기판의 전위(electrical potential)를 조정하면, 플라즈마 내에서 전하를 띤 입자를 이동시켜서 웨이퍼의 마스크되지 않은 영역에 충돌시킬 수 있으며, 그 결과 기판으로부터 원자를 제거한다.
피식각 물질과 화학적으로 반응하는 가스를 사용하여 식각 공정을 보다 효과적으로 진행시키는 경우도 종종 있다. 소위 "반응성 이온 식각"이라는 공정은 플라즈마의 에너지를 이용하는 식각 효과와 가스의 화학적인 식각 효과를 결합시킨다. 그러나, 많은 화학 반응종(chemically active agent)은 전극을 과도하게 마모시키는 것으로 알려져 오고 있다.
웨이퍼의 전체 표면에 대하여 균일한 식각율을 얻기 위해서는 웨이퍼의 표면 위에 플라즈마를 균일하게 분포시키는 것이 바람직하다. 예를 들면, Rose 등의 미국 특허 제4,792,378호 및 제4,820,371호에는 전극에 형성된 많은 구멍(hole)을 통하여 가스를 분배시키는 샤워 헤드 전극(shower head electrode)이 개시되어 있다. 이들 특허에는 반도체 웨이퍼에 가스 증기의 균일한 흐름을 제공하기 위하여 반응 챔버 내에 존재하는 특정한 압력 구배(pressure gradients)에 맞게 개구(aperture)가 배열되어 있는 가스 분산 디스크(gas dispersion disk)가 일반적으로 기술되어 있다. 가스 분산 디스크는 이 디스크 하부의 압력 구배를 없애고 샤워 헤드 전극을 통하여 균일한 흐름을 제공하여 웨이퍼의 전면에 균일하게 가스를 분산시키는 선택적 장벽(selective barrier)으로서의 역할을 한다. 또, 펌핑 포트(pumping port)와 같은 플라즈마 방전 시스템에 존재하는 어떠한 불연속성이나 불규칙성도 샤워 헤드의 하부에서 발생되는 플라즈마의 밀도에 영향을 줄 수도 있다.
집적 회로 제조 공정이 미립자 및 불순물에 의한 오염에 아주 민감하기 때문에, 식각 공정을 진행하는 동안에는 공기 중에 떠다니는 1마이크로미터 정도로 작은 미립자 물질조차도 웨이퍼의 표면과 접촉하는 것을 방지하여야 한다. 따라서, 플라즈마를 웨이퍼 기판의 바로 상부나 그 주위에 한정하는 것이 종종 바람직하다. 예를 들면, 사카타(Sakata) 등의 특허(미국 특허 제4,610,774)에는 스퍼터링 타깃의 주위에 위치하여, 스퍼터링 공정을 진행하는 동안에 플라즈마로부터 벗어나려는 경향이 있는 전자들을 반발시켜 중심 쪽으로 되돌릴 수 있는 고리 모양의 벽(annular wall)이 개시되어 있다. 마찬가지로, 이쉬이(Ishii) 등의 특허(미국 특허 제5,571,366)에는 피처리 대상의 타깃 표면에 가스를 집중시키는 작용을 하는 고리 모양의 프로젝션(an annular projection)을 그 주위에 가진 플라즈마 공정 장치용 가스 공급 수단이 개시되어 있다. 메이단(Maydan) 등의 특허(미국 특허 제5,643,394호)에는 주입 가스를 피처리 웨이퍼의 중심 쪽으로 집중시키려고 고안된 고리 모양의 반사체(annular reflector)를 가진 플라즈마 챔버가 개시되어 있다.
플라즈마 공정은 평행판 반응기 장치(parallel plate reactor apparatus)에서 일반적으로 수행하는데, 여기서 반응기의 체적은 가깝게 이격되어 있는 한 쌍의 전극판(electrode plate)에 의하여 한정된다. 실리콘 웨이퍼와 같은 반도체 기판을 처리하기 위한 평행판 플라즈마 공정 반응기에 대한 예들은 미국 특허 제4,960,488호; 제5,074,456호; 제5,569,356호; 및 제6,073,577호에 개시되어 있다. 그러나, 평행판 플라즈마 반응기에서 평면 전극(planar electrode)을 사용하면, 기판의 표면을 가로질러서 플라즈마 밀도가 균일하지 않게 될 수가 있다. 일반적으로, 기판의 가장자리 부근에서의 플라즈마 밀도는 중심에서의 플라즈마 밀도보다 작다. 따라서, 플라즈마 식각 공정에서 종래의 평행판 반응기를 사용하면 피처리 기판의 가장자리 부근에서는 식각율이 저하될 수가 있다.
플라즈마 공정에서 균일성을 향상시키기 위한 여러 가지 모양의 전극들이 개시되었다. 예를 들어, Mundt 등의 특허(미국 특허 제4,297,162호), Kim 등의 특허(미국 특허 제5,990,016호), Mallon의 특허(미국 특허 제5,628,869호), Donohue 등의 특허(미국 특허 제6,010,636호), Salimian의 특허(미국 특허 제5,716,485호), Zajac의 특허(제4,230,515호)를 참조하라. 또한, Mundt 등이 발명자이고 공동 소유된 2개의 특허(미국 특허 제5,472,565호 및 미국 특허 제5,714,031호)를 참조하라.
본 발명은 상술한 문제를 해결하기 위해 제공되는 것으로, 플라즈마 공정을 진행하는 동안에 실리콘 웨이퍼와 같은 기판의 가장자리 부근에서 플라즈마 밀도를 국지적으로 높이거나 강화시키고, 다양한 여러 가지 공정 범위(process regime)에 대해서 균일한 식각이 될 수 있도록, 단차부 자체 또는 기판을 지지하는 하부 전극 상의 에지 링도 고려하여 단차부의 모양, 크기 및 위치를 최적화하며, 또한 웨이퍼의 중심에서의 식각율과 같은 다른 식각 특성에는 크게 영향을 주지 않고 식각 균일성을 최적화하는 것을 목적으로 한다.
본 발명은 플라즈마 반응 챔버에서 사용할 수 있는 전극을 제공한다. 전극은 다수의 가스 출구(outlet)를 포함하는 중앙부(central portion)를 가지는데, 이것을 통하여 전극의 노출면(exposed surface)으로부터 공정 가스가 방출될 수 있다. 전극의 주변부(peripheral portion)에는 단차부(step)가 위치하며, 이것은 전극의 중앙부 주위에 적어도 부분적으로 연장된다. 단차부는 전극의 노출면 주위에 형성되는 플라즈마의 밀도를 증가시킬 수 있다. 전극의 중앙부는 실질적으로 평면 모양일 수 있다. 바람직한 실시예에서는, 단차부는 전극의 외주(outer periphery)의 안쪽에 위치하며 따라서 전극이 단차부의 바깥까지 뻗어있다.
본 발명은 플라즈마 챔버에서 반도체 기판을 처리하는 방법을 또한 제공한다. 그 방법은 다음의 단계를 포함한다: 하부 전극 상에 기판을 지지하는 단계; 챔버에 공정 가스를 공급하는 단계; 상부 전극의 노출면에 인접하여 플라즈마를 형성하는 단계; 및 플라즈마를 사용하여 반도체 기판을 처리하는 단계. 상부 전극은 중앙부와 상부전극의 노출면로부터 돌출되어 중앙부 주위에 적어도 부분적으로 연장된 단차부를 포함하는 주변부를 가진다. 단차부는 전극의 노출면에 인접하여 소정의 국지적인 플라즈마 밀도(localized plasma density)를 제공한다. 상부 전극은 공정 가스를 챔버 안으로 방출시키기 위한 하나 또는 그 이상의 가스 출구가 있는 중앙부를 구비하는 샤워 헤드 전극일 수 있다.
본 발명은 반도체 소자의 제조 공정에 사용하는 플라즈마 챔버를 또한 제공한다. 플라즈마 챔버는 서로 대향하고 있으며 서로 이격되어 있어서 그 사이에 간극(gap)을 한정하는 각각의 표면을 가진 상부 전극과 하부 전극을 포함한다. 하부 전극은 기판 지지대(substrate support)를 포함한다. 상부 전극은 중앙부와 상부 전극의 주변부로부터 돌출되어 중앙부 주위에 적어도 부분적으로 연장된 단차부를 포함한다. 단차부 영역에서 상부 전극의 노출면에 인접하여 형성되는 플라즈마의 국지적인 밀도에 단차부가 영향을 미친다. 챔버는 하부 전극 상에 탑재되어 있는 에지 링(edge ring)을 또한 포함할 수 있다. 에지 링은 상부 전극 상의 단차부와 함께 작용을 하여 전극의 노출면에 인접한 곳에 소정의 국지적인 플라즈마 밀도를 제공할 수 있다.
이상에서 상술한 바와 같이 본 발명에 따른 단차부를 갖는 전극을 사용하면 몇 가지 이점을 얻을 수 있다. 우선, 플라즈마 공정을 진행하는 동안에 실리콘 웨이퍼와 같은 기판의 가장자리 부근에서 플라즈마 밀도를 국지적으로 높이거나 강화시킬 수가 있다. 다양한 여러 가지 공정 범위(process regime)에 대해서 균일한 식각이 될 수 있도록, 단차부 자체 또는 기판을 지지하는 하부 전극 상의 에지 링도 고려하여 단차부의 모양, 크기 및 위치를 최적화할 수 있다. 게다가, 웨이퍼의 중심에서의 식각율과 같은 다른 식각 특성에는 크게 영향을 주지 않고 식각 균일성을 최적화할 수 있다. 단차부를 가진 전극은 많은 다른 전극 물질이나 공정 가스에 대해서도 또한 적합하다.
도 1a는 본 발명의 일 실시예에 따른 단차부를 갖는 상부 전극이 평행판 플라즈마 장치 내에 탑재되어 있는 것을 도시하는 도면.
도 1b는 본 발명의 다른 실시예에 따른 일체형 단차부(integral step)를 구비하는 상부 전극이 탑재된 평행판 플라즈마 장치의 웨이퍼 가장자리 영역에 대한 상세도.
도 1c는 본 발명의 다른 실시예에 따른 두께 조정이 가능한 분리형 단차부(separate step)를 구비하는 상부 전극이 탑재된 평행판 플라즈마 장치의 웨이퍼 에지 영역에 대한 상세도.
도 2a는 본 발명에 따른 단일 웨이퍼 평행판 플라즈마 장치의 웨이퍼 가장자리 영역에 대한 상세도.
도 2b는 내경이 8.6인치인 단차부를 갖는 상부 전극을 구비한 도 2a에 도시된 설비(arrangement)를 사용하여 블랭킷 산화막을 식각한 후 웨이퍼 전체에 걸쳐 측정한 식각율 프로파일(etch rate profile)을 종래 기술에 따른 평평한 상부 전극(flat upper electrode)을 사용하여 측정한 식각율 프로파일과 비교하여 도시한 그래프.
도 2c는 식각 폭(etch width)이 0.2마이크론이고 종횡비가 약 9:1인 BPSG에 식각된 콘택의 식각 깊이에 대한 단차부의 효과를 보여주기 위한 그래프.
도 2d는 하부 전극 상의 웨이퍼를 둘러싸는 에지 링(edge ring)에 대한 상부 전극 단차부의 경사 크기를 변화시키는 것이 식각율 프로파일에 미치는 효과를 보여주기 위한 그래프.
도 3a는 내경이 8.7인치인 단차부를 구비하는 한 조각으로 된(one-piece) Si 상부 전극을 사용하여 블랭킷 산화막을 식각한 후 웨이퍼 전체에 걸쳐 측정한 식각율 프로파일과 종래 기술에 따른 평평한 Si 상부 전극을 사용하여 측정한 식각율 프로파일을 비교하여 도시한 그래프.
도 3b는 단차부를 갖는 일체형 Si 상부 전극을 사용할 경우와 종래의 평평한 Si 상부 전극을 사용할 경우에 BPSG에 식각된 콘택의 식각 깊이를 비교하여 도시하는 그래프.
도 4a는 전극의 외주(outer periphery)로부터 안쪽으로 이격되어 있는 외주를 구비하여 좁은(외경이 작은) Si 단차부를 가지는 Si 상부 전극을 포함하는 전극 설비를 도시하는 도면.
도 4b는 도 4a의 설비를 사용할 경우에 좁은 Si 단차부의 내경(inside diameter)이 식각율 프로파일에 미치는 영향을 보여주는 그래프.
도 4c는 도 4a의 설비를 사용할 경우에 핫 에지 링(Hot Edge Ring, HER) 재료가 식각율 프로파일에 미치는 영향을 보여주는 그래프.
도 5는 평평한 실리콘 전극과 비교하여 단차부의 내경이 식각율 프로파일에 미치는 영향을 보여주는 그래프.
도 6a 내지 도 6d는 식각된 구조물(feature)에 대한 마이크로그래프(micrograph)로서, 여기서 도 6a 및 도 6b는 각각 평평한 Si 상부 전극을 사용할 경우에 가장 자리 영역과 중앙 영역에 식각된 구조물을 도시하는 마이크로그래프이고, 도 6c 및 도 6d는 단차부를 갖는 상부 전극을 사용할 경우에 가장자리 영역과 중앙 영역에 식각되는 구조물을 보여주는 그래프.
도 7은 평평한 Si 상부 전극과 여러 가지 모양의 단차부를 구비하는 단차부를 갖는 Si 상부 전극을 사용할 경우 각각에 대하여 RF 동력비 대 플라즈마 드롭아웃 압력(plasma dropout pressure)을 보여주는 그래프.
본 발명은 실리콘 웨이퍼, 평판 디스플레이 기판(flat panel display substrate)과 같은 반도체 기판을 플라즈마 처리하는 동안에 균일성을 개선할 수 있는 신규한 설비를 제공한다. 피처리 기판의 주변 영역에 형성되는 플라즈마 밀도를 조절함으로써 플라즈마 공정을 개선한다. 단차부를 가진 전극을 사용함으로써 균일성을 개선시킬 수 있다. 피처리 기판을 둘러싸는 핫 에지 링(Hot Edge Ring, HER)의 재료, 모양 및/또는 동력을 조절함으로써 보다 향상된 효과를 달성할 수 있다.
기판 전체에 걸쳐서 보다 균일한 식각율을 얻을 수 있도록 평행판 플라즈마 반응 챔버 내의 웨이퍼의 가장자리 부근에서의 플라즈마의 밀도를 높이기 위하여 본 발명에 따른 단차부를 가진 전극을 플라즈마 식각 공정에 적용할 수 있다. 비록 본 발명은 플라즈마 식각 공정에서 얻을 수 있는 이점에 대해서 기술되지만, 플라즈마 강화 화학 기상 증착(PECVD) 공정이나 플라즈마 세정 공정과 같이 균일한 밀도의 플라즈마가 요구되는 다른 응용 분야에도 본 발명은 또한 유용하다.
본 발명은 중앙부와 전극에 의하여 형성되는 플라즈마의 국지적인 밀도를 제어할 수 있는 단차부를 가진 주변부를 구비하는 샤워 헤드 전극을 제공한다. 주변부는 웨이퍼와 같은 반도체 기판에 대향하는 전극의 표면에 적어도 하나의 단차부를 포함한다. 하부 전극으로부터의 국지적인 동력 커플링(localized power coupling)을 변화시킴으로써 플라즈마의 밀도를 변화시킬 수 있도록 단차부의 모양이 만들어질 수 있다. 하부 전극 상의 에지 링과 함께 상부 전극 상의 단차부를 사용하면 가장자리 식각율(edge etch rate)을 훨씬 잘 제어할 수가 있다. 단차부와 에지 링을 서로 다른 물질로 만듦으로서, 가장자리 영역에서 플라즈마를 통한 커플링 정도를 더 잘 조절할 수 있다. 게다가, 소망하는 식각율 프로파일을 얻기 위하여 단차부 및 에지 링의 기하학적인 모양을 여러 가지로 변경할 수도 있다. 바람직한 실시예에서는, 단차부가 전극의 외주 안쪽에 위치하여 전극이 단차부를 넘어서까지 연장되어 있다. 다른 바람직한 실시예에서는, 전극의 중앙부 및/또는 단차부를 넘어서 연장되어 있는 전극의 일 영역은 실질적으로 동일한 평면을 형성한다.
본 발명은 또한 기판과 반대편 전극 사이에 플라즈마를 형성하는 단계를 포함하는 플라즈마를 사용하여 반도체 기판을 처리하는 방법과 관련되는데, 여기서 반대편 전극은 적어도 하나의 단차부를 포함하는 주변부(peripheral portion)를 갖는다. 단차부는 전극의 주변부에 인접하여 형성되는 플라즈마 밀도를 국지적으로 증가시켜서, 웨이퍼의 가장자리 식각율을 제어할 수 있도록 한다.
본 발명에 따른 단차부를 갖는 전극을 사용하면 몇 가지 이점을 얻을 수 있다. 우선, 플라즈마 공정을 진행하는 동안에 실리콘 웨이퍼와 같은 기판의 가장자리 부근에서 플라즈마 밀도를 국지적으로 높이거나 강화시킬 수가 있다. 다양한 여러 가지 공정 범위(process regime)에 대해서 균일한 식각이 될 수 있도록, 단차부 자체 또는 기판을 지지하는 하부 전극 상의 에지 링도 고려하여 단차부의 모양, 크기 및 위치를 최적화할 수 있다. 게다가, 웨이퍼의 중심에서의 식각율과 같은 다른 식각 특성에는 크게 영향을 주지 않고 식각 균일성을 최적화할 수 있다. 단차부를 가진 전극은 많은 다른 전극 물질이나 공정 가스에 대해서도 또한 적합하다.
본 발명에서는, 플라즈마를 통한 국지적인 동력 커플링을 변화시킴으로써 웨이퍼의 가장자리 부근에서의 식각율을 제어한다. 즉, 상부 전극 상에 단차부 또는 돌기(projection)가 위치하기 때문에, 웨이퍼의 가장자리 부근 영역에서 더 많은 전류가 플라즈마를 통하여 커플링된다. 상부 전극 상의 단차부는 플라즈마 분포(plasma sheath)가 가장자리 영역에 존재하는 이온의 에너지를 증가시키면서 플라즈마 밀도를 보다 균일하게 유지하는데 도움을 주는 단차부의 프로파일과 동일 형상이 되도록 한다.
본 발명에 따라서 사용될 수 있는 보다 바람직한 단차부를 갖는 전극은 샤워 헤드 전극이다. 샤워 헤드 전극은 그 전극의 마주보는 앞면과 뒷면 사이에 연장되어 있는 다수의 가스 출구(gas outlet)를 포함하는 것이 바람직하다. 가스 출구는 전극의 앞면에 가까운 영역에 공정 가스를 방출하기 위하여 앞면의 중앙부에 위치할 수 있다. 샤워 헤드 전극의 앞면 주변부는 앞면 중앙부를 둘러싼다. 단차부는 전극의 주변부에 위치하고 전극의 중앙부 주위에서 적어도 부분적으로, 바람직하게는 전체로 연장되어 있다. 단차부는 전극의 노출면에 인접하여 형성되는 플라즈마의 국지적인 밀도를 제어한다. 단차부를 갖는 샤워 헤드 전극이 결합되어 있는 플라즈마 반응 챔버는 반도체 식각 장치인 것이 바람직하다.
상부 전극은 흑연, 실리콘, 실리콘 카바이드 및 알루미늄과 같은 어떠한 적합한 물질로도 형성할 수 있다. 단차부는 한 조각으로 이루어진 단차부를 갖는 하나의 몸체(monolithic)로 만들어진 일체형 구성요소(integral part)(예, 기계 가공되거나 그렇지 않으면 한 조각의 재료로 만들어진 것)일 수 있으며 또는 별개의 조각으로 만들어져서 (예를 들어, 야금이나 또는 접착 수단에 의함) 접착된 것일 수 있으며 그렇지 않으면, 전극 표면에 결합되어 있는 것일 수도 있다. 단차부는 전극과 같은 물질로 만들거나 또는 다른 물질로 만들 수 있다. 전극과 마찬가지로, 단차부의 삽입부(step insert)도 흑연, 실리콘, 실리콘 카바이드 또는 알루미늄과 같은 물질로 또한 만들 수도 있다. 그러나, 단차부는 석영과 같은 절연 물질로 만들 수도 있다.
본 발명의 다른 바람직한 실시예에서는, 상부 전극 상의 단차부는 전극의 중앙부에 인접한 내면(inner surface), 전극의 실질적으로 평평한 중앙부와 실질적으로 평행한 밑면(bottom surface) 그리고 내면의 반대편에 위치하는 외면(outer surface)을 가진다. 전극의 실질적으로 평평한 중앙부에 대하여 둔각을 형성하도록 단차부의 내면은 경사져 있는 것이 바람직하다. 예를 들어, 단차부에 대한 횡단면(transverse cross-section)의 모양은 사다리꼴일 수 있다. 다른 바람직한 실시예에서는, 단차부의 내면은 상부 전극의 실질적으로 평평한 중앙부에 평행한 평면에 대하여 120 내지 160도의 각을 형성하고, 단차부의 외면은 상부 전극의 실질적으로 평평한 중앙부에 평행한 평면에 대하여 80 내지 90도의 각을 형성한다.
본 발명의 다른 바람직한 실시예에서, 플라즈마 챔버에서 반도체 기판을 처리하는 방법은 하부 전극 상에 기판을 탑재하는 단계, 챔버 내로 공정 가스를 방출하는 단계, 상부 전극의 노출면에 인접하여 플라즈마를 형성하는 단계 및 이 플라즈마로 기판을 처리하는 단계를 포함한다. 전극은 중앙부와 주변부를 가지는데, 이 주변부는 그것의 노출면으로부터 튀어 나와 있고 중앙부 주위의 적어도 일부로 연장되어 있는 단차부를 포함한다. 전극의 노출면에 인접하여 형성되는 플라즈마가 소정의 국지적인 밀도가 되도록 단차부의 형상(step geometry)을 만들 수 있다. 본 발명의 다른 바람직한 실시예에서는, 상기한 반도체 기판은 반도체 웨이퍼를 포함하고 상기한 기판 처리 단계는 플라즈마로 반도체 웨이퍼를 식각하는 단계를 포함한다. 기판을 처리하는 동안에 샤워 헤드 전극은 전기적으로 접지시키고 하부 전극에는 동력을 공급하는 것이 바람직하다.
본 발명의 또 다른 바람직한 실시예에서는, 플라즈마는 샤워 헤드 전극과 하부 전극 사이의 간극(gap)에 형성되고 상기 방법은 플라즈마 챔버 밖으로 상기 반도체 기판을 전달하는 단계와 다른 반도체 기판을 플라즈마 챔버 내로 전달하여 하부 전극 상에 전달하는 단계를 더 포함한다.
본 발명의 다른 실시예에서, 반도체 소자를 제조하는데 사용되는 플라즈마 챔버는 간극을 형성하도록 서로 이격되어 있고 각각의 표면이 서로 마주보는 상부 전극과 하부 전극을 포함한다. 하부 전극은 기판 지지대를 포함한다. 상부 전극은 중앙부와 상부 전극의 주변부에 위치하여 상부 전극의 중앙부 주위의 적어도 일부로 연장되는 단차부를 포함한다. 단차부는 상부 전극의 노출면에 인접하여 형성되는 플라즈마의 국지적인 밀도를 제어한다.
플라즈마 챔버에 대한 본 발명의 바람직한 실시예에서는, 기판을 처리하는 동안 상부 전극은 접지시키고 하부 전극에는 동력을 공급한다. 그러나, 두 전극 모두에 동력을 공급하거나 하부 전극은 접지시키고 상부 전극에 동력을 공급할 수도 있다.
본 발명의 다른 바람직한 실시예에서는, 하부 전극은 정전척(ESC)을 둘러싸는 희생 에지 링(sacrificial edge ring)을 더 포함할 수도 있다. 에지 링은 기판을 처리하는 동안에 뜨거워지는 경향이 있는 교체가 가능한 부품이며, 따라서 핫 에지 링(Hot Edge Ring, HER)이라고 불린다. 에지 링은 실리콘 카바이드(SiC)와 실리콘과 같은 도전성 물질로 만들거나 또는 석영과 같은 절연 물질로 만들 수도 있다. 에지 링을 만드는 물질을 바꿈으로서, 피처리 기판의 바깥쪽 부분(outer portion)에서 소망하는 국지적인 "가장자리" 식각율을 제공하도록 플라즈마를 통한 커플링의 정도를 조절할 수가 있다. SiC는 낮은 용량성 임피던스를 가지고 있기 때문에, 실리콘보다 더 빠른 식각율을 일반적으로 보여줄 것이다. 석영과 다른 절연 물질은 가장자리 식각율에 영향을 덜 미칠 것이다.
후술된 몇몇 도면에는 단차부를 갖는 상부 전극을 구비하는 설비를 사용했을 때와 종래의 평평한 상부 전극을 구비하는 설비를 사용했을 때의 식각율 균일성을 보여주는 그래프가 도시되어 있다.
도 1a에는 본 발명에 따른 평행판 플라즈마 장치의 단면도가 도시되어 있다. 상부 전극(10)은 그 주변부에 단차부(11)를 가진다. 단차부는 내경(ID) 및 외경(OD)을 가진다. 기판 또는 웨이퍼(15)는 그 내부에 정전척(16)이 결합되어 있는 기판 지지대(19) 상에 탑재되어 있다. 기판 지지대는 또한 에지 링(17)과 커플링 링(18)을 포함한다. 단차부(11)는 웨이퍼(15)를 마주보도록 경사져 있는 내면, 웨이퍼(15)에 평행한 밑면 및 웨이퍼(15)에 수직인 외면을 포함하는데, 이 외면은 전극(10)의 외주로부터 안쪽에 위치하고 있다.
도 1b에는 본 발명의 다른 실시예에 따른 평행판 플라즈마 장치의 웨이퍼 가장자리 영역이 상세하게 도시되어 있다. 상부 전극(10)은 일체형 단차부(11)를 구비하는 한 조각의 일체형 전극이다. 단차부(11)는 소정의 두께(t)를 가지며 상부 전극의 중앙부와 소정의 각도(α)를 형성하는 내면(12)을 갖는다. 단차부는 밑면(13) 및 전극의 실질적으로 평평한 중앙부에 대하여 평행한 평면과 소정의 각도(γ)를 이루는 외면(14)을 갖는다. 도 1a의 실시예와 마찬가지로, 단차부(11)는 에지 링(17)과 대향하여 위치한다.
도 1c에는 본 발명의 다른 실시예에 따른 평행판 플라즈마 장치의 웨이퍼 가장자리 영역이 상세하게 도시되어 있다. 상부 전극(10)은 별개의 부재로 형성된 단차부(30)를 포함한다. 상부 전극의 노출면 안으로 파여 있는 그루브(groove, 32)가 도시되어 있다. 분리형 단차부(separate step, 30)는 그루브(32)에 꼭 맞으며 전극의 표면으로부터 튀어 나와 있다. 전극의 노출면에 대한 단차부(30)의 두께는 상부 전극에 형성되어 있는 개구(33)를 통하여 단차부에 연결된 액추에이터(actuator, 31)로 조절할 수 있다. 액추에이터(31)의 위치 그리고 그에 따른 단차부의 두께는 공정 챔버의 외부로부터 제어하는 메커니즘과 같은 방식에 의하여 적절한 값으로 조절할 수 있다. 이와 같은 설비에 의하면, 예를 들어, 다른 기판을 처리하거나 및/또는 다른 공정 가스에 의한 화학 작용을 사용하는 경우에 단차부 또는 전체 상부 전극을 교체하지 않고도 단차부의 유효 두께를 조절할 수가 있다. 게다가, 이와 같은 설비를 사용함으로써, 플라즈마 챔버를 개방시키지 않고도 다단계 플라즈마 공정(예, 듀얼 다마신 공정)의 각 단계 사이에 단차부의 두께를 변경할 수가 있다.
도 2a에는 본 발명의 일 실시예에 따른 평행판 플라즈마 장치의 웨이퍼 에지 영역이 상세하게 도시되어 있는데, 여기서 단차부가 전극의 바깥쪽 가장자리까지 연장되어 있다. 정전척(23)을 사용하여 웨이퍼(22) 형태의 반도체 기판이 기판 지지대(26) 상에 탑재되어 있다. 도시된 실시예에서는, 웨이퍼의 직경은 8인치(200mm)이다. 그러나, 잘 알 수 있는 바와 같이, 본 발명은 다른 크기의 웨이퍼나 기판에도 또한 적용이 가능하다. 8인치 웨이퍼인 경우에, 단차부(21)의 내경(ID)은 8.6인치가 되도록 설정하여 단차부(21)가 웨이퍼(22)의 가장자리에서 약 0.3인치 넘어서 또는 웨이퍼(22) 가장자리의 바깥에서 시작될 수 있다. 단차부의 외경은 전극의 직경(10.35인치)과 같으며 따라서 단차부는 고정되어 있는 가드(guard, 27)와 접촉한다. 고정되어 있는 가드는 움직일 수 있는 컨파인먼트 링(confinement ring, 28)과 함께 작용하여 플라즈마를 웨이퍼 표면 상에 집중시킨다. 단차부(21)는 전극의 노출면과 150도의 각(α)을 형성하는 경사면(inclined surface)을 가지며 단차부의 두께는 0.125인치이다. 석영 커플링 링(25)과 실리콘 핫 에지 링(24)이 기판 지지대(26)를 둘러싼다. 에지 링의 내면은 웨이퍼 표면에 수직인 평면과 소정의 각도(β)를 형성하도록 경사져 있다. 상부 전극(20)은 실리콘으로 만들어지고 단차부(21)는 상부 전극에 (본딩이나 기계적인 접착과 같은 적절한 기술을 사용하여) 단단히 고정되어 있는 분리형 링으로서 도시되어 있다.
후술되는 그래프에 나타나는 데이터는 이중 주파수 평행판 플라즈마 식각 반응기(dual frequency parallel plate plasma etch reactor)를 사용하여 얻은 것이다. 모든 경우에, (이중 주파수의) 동력이 단지 하부 전극에만 인가되고 상부 전극은 하부 전극과 약 1.3cm의 간극을 가지고 접지되었다.
도 2b에는 도 2a에 도시된 설비를 사용하여 블랭킷 산화막을 식각할 경우(■)와 종래의 평평한 상부 전극을 구비하는 설비를 사용하여 블랭킷 산화막을 식각할 경우(●)의 식각율 프로파일을 비교하여 나타낸 그래프가 도시되어 있다. 두 경우 모두, 실리콘 핫 에지 링과 석영 커플링 링을 사용하였고 식각 공정을 실시한 조건은 다음과 같다: 27MHz에서 1500와트의 RF 동력; 2MHz에서 1100와트의 RF 동력; 웨이퍼 위의 52mTorr의 챔버 압력; 135sccm의 아르곤 가스 유량; 15sccm의 C4F8 가스 유량; 10sccm의 O2 가스 유량; -10℃의 하부 전극 온도; 및 60초의 공정 시간.
그래프에는 웨이퍼의 중심으로부터 측정된 웨이퍼 표면 상의 위치에 대한 함수로 식각율(옹스트롱/분)이 도시되어 있다. 그래프에 도시된 바와 같이, 단차부는 웨이퍼 가장자리 부근에서의 식각율 균일성을 급격하게 향상시켰다. 각 그래프의 옆에 표시되어 있는 숫자는 평균 식각율과 식각율 균일성을 나타낸다. 식각율 균일성은 다음의 식으로 계산할 수 있다.
[(최대값-최소값)/2×평균]×100%
여기서, 최대값은 식각율의 최대값이고 최소값은 식각율의 최소값이다. 식각율은 루돌프 테크놀로지(rudolph Technologies)로부터 구할 수 있는 엘립소미터(ellipsometer)를 사용하여 식각 공정을 실시하기 전, 후에 측정하여 계산하였다. 웨이퍼 가장자리 부근의 0.5mm 영역의 두께는 측정에서 제외하였다. 제외된 가장자리 영역(edge exclusion region)으로부터 12mm 영역 까지는 매 0.5mm 간격으로 두께를 측정하였다. 웨이퍼의 중심 영역에서는 측정 간격을 더 크게 하였다.
도 2c에는 BPSG에 형성된 콘택의 식각 깊이에 대하여 단차부가 미치는 효과를 보여주는 그래프가 도시되어 있다. 콘택은 식각 폭(etch width)이 0.2마이크론이고, 종횡비는 약 9 : 1이다. 사용된 공정 조건은 다음과 같다: 27MHz에서 1500와트 RF 동력; 2MHz에서 1100와트 RF 동력; 웨이퍼 위의 52mTorr의 공정 압력; 135 sccm의 아르곤 가스 유량; 15 sccm의 C4F8 가스 유량; 8 sccm의 O2 가스 유량; -10℃의 하부 전극 온도; 및 140초의 공정 시간.
상부 그래프(■)는 도 2a에 도시된 전극을 구비하는 설비를 사용하였을 때의 식각 깊이를 나타낸다. 하부 그래프(●)는 종래의 평평한 상부 전극을 구비하는 설비를 사용했을 때의 식각 깊이를 나타낸다. 위치는 웨이퍼의 가장자리부터 측정한다. 도 2c에 도시된 바와 같이, 상부 전극 상의 단차부는 웨이퍼의 외주(perimeter) 주위에서 식각율을 증가시킴으로써 콘택의 식각 깊이의 균일성을 향상시킨다. 각 그래프의 옆에 있는 숫자는 평균 식각 깊이 및 식각 깊이의 균일성을 나타낸다. BPSG에 형성된 콘택의 식각 깊이는 SEM으로 측정하였다.
도 2d에는, 상기한 도 2b를 설명할 때 개시한 조건을 사용하는 블랭킷 산화막 식각(blanket oxide etch)에 대하여 에지 링의 경사각(β)을 변화시키는 것이 식각율 프로파일에 미치는 영향을 나타내는 그래프가 도시되어 있다. 상부 그래프(■)는 60°의 경사각을 갖는 SiC 핫 에지 링을 사용한 경우의 식각율을 보여준다. 가운데 그래프(●)는 15°의 경사각을 갖는 Si 핫 에지 링을 사용한 경우의 식각율을 보여준다. 상부 그래프와 가운데 그래프에서는, 내경이 8.6″인 단차부 갖는 전극을 사용하였다. 하부 그래프(▲)는 평평한 전극과 15°의 경사각을 갖는 Si 핫 에지 링을 사용한 경우의 식각율을 보여준다. 도시된 바와 같이, 15°에서 60°로 에지 링의 경사각을 증가시키면 가장자리 식각율이 증가한다. 60°의 경사각을 갖는 SiC 핫 에지 링을 사용한 경우의 식각율 프로파일은 웨이퍼의 중심 부근에서보다 가장자리에서 더 높은 식각율을 보여주는 "가장자리가 빠른(fast edge)" 프로파일이다. 에지 링 상의 경사각은 가장자리 식각율을 증가시키는 플라즈마 분포를 교란시키는 것으로 생각된다. 핫 에지 링 물질로 Si 대신에 SiC를 사용하면 웨이퍼의 가장자리 부근에서 식각율을 증가시키는 효과가 또한 있다.
도 3a에는 종래의 평평한 Si 상부 전극을 사용할 경우(▲)의 블랭킷 산화막 식각과 일체형(하나의 조각으로 된) Si 단차부를 갖는 상부 전극을 사용할 경우(■)의 블랭킷 열 산화막 식각에서 식각율 프로파일을 비교하여 보여주는 그래프가 도시되어 있다. 상부 전극 상의 단차부는 두께가 0.125인치이고 그리고 경사각(α)은 135도였다. 도 2b에 개시한 조건과 같은 공정 조건을 사용하여 식각 공정을 수행하였다. Si 핫 에지 링과 석영 커플링 링을 사용하였다. 웨이퍼의 중심으로부터 측정되는 웨이퍼 표면 상의 위치에 대한 함수로 옹스트롬/분의 단위로 표시되는 식각율이 도시되어 있다. 도시된 바와 같이, 단차부는 웨이퍼의 가장자리 부근에서 식각율 균일성을 급격하게 향상시킨다. 각 그래프의 옆에 있는 숫자는 평균 식각율과 식각율 균일성이다.
도 3b에는 상기한 것과 같은 일체형 Si 단차부를 갖는 상부 전극을 사용할 경우에 BPSG에 형성되는 콘택의 식각 깊이에 대한 단차부의 영향을 보여주는 그래프가 도시되어 있다. 콘택은 식각 폭이 0.2마이크론이고, 종횡비는 약 9:1 이다. 도 2c에 개시한 조건과 동일한 공정 조건을 사용하였다. 상부 그래프(■)는 단차부를 갖는 전극을 사용할 경우에 식각 깊이를 위치에 대한 함수로 도시하고 있다. 하부 그래프(●)는 종래의 평평한 Si 상부 전극을 사용할 경우에 웨이퍼의 가장자리로부터 측정된 위치에 대한 함수로 식각 깊이를 도시하고 있다. 도시된 바와 같이, 상부 전극 상의 일체형 단차부는 구조물(feature)의 식각 깊이의 균일성을 향상시킨다. 각 그래프의 옆에 있는 숫자는 평균 식각 깊이 및 식각 깊이 균일성이다. 식각 깊이는 SEM으로 측정하였다.
도 4a에는 좁은(내경이 작은) Si 단차부(41)를 가진 상부 전극(40)을 포함하는 전극 설비가 도시되어 있다. 단차부의 두께는 0.125인치이고 내경은 8.25인치이다. 정전척(45) 상에 탑재되어 있는 8인치 웨이퍼(42)가 도시되어 있다. 하부 전극(46)은 석영 커플링 링(44)과 에지 링(43)을 또한 포함한다. 에지 링(43)은 60°의 경사각(β)을 갖는다. 단차부의 외경이 작기 때문에, 단차부의 외면(outside diameter, 48)과 고정된 가드(47)의 내면 사이에는 공간이 존재한다. 컨파인먼트 링(49) 또한 도시되어 있다. 이와 같은 기기에 Si 핫 에지 링을 사용하면 도 2b에서 개시한 공정 조건하에서 안정된 플라즈마를 얻을 수 있다.
도 4b에는 도 4a에 도시된 기기를 사용할 경우에 단차부의 내경이 식각율 프로파일에 미치는 영향을 보여주는 그래프가 도시되어 있다. 도 2b를 설명할 때 개시한 공정 조건과 동일한 공정 조건을 사용하여 블랭킷 열 산화막 웨이퍼를 식각하였다. 그러나, 2가지 경우 모두 에지 링 물질은 Si 대신에 SiC이었다. 내경이 8.0인치인 단차부(●)와 내경이 8.25인치인 단차부(■) 모두 가장자리에서 빠른 식각율을 나타내었다. 그러나, 내경이 8.0인치인 단차부는 전반적으로 더 낮은 식각율을 나타내었다.
도 4c에는 에지 링 물질을 SiC에서 석영으로 바꾸었을 때의 효과를 보여주는 그래프가 도시되어 있다. 앞에서 도 2b를 설명할 때 개시한 공정 조건을 사용하여 블랭킷 열 산화막 웨이퍼를 식각하였다. 도 4a에 도시된 전극 설비를 사용하였다. 하부 그래프(■)는 석영 핫 에지 링을 사용할 경우의 식각율 프로파일을 나타낸다. 상부 그래프(●)는 SiC 핫 에지 링을 사용할 경우의 식각율을 나타낸다. 절연 물질인 석영 에지 링을 사용하면 웨이퍼의 가장자리에서 커플링을 감소시키고 따라서 웨이퍼의 가장자리 부근에서 식각율을 상당히 감소시킨다. 그래프에 나타나 있는 가장자리와 가장자리 사이의 불균일성(edge-to-edge non uniformity)은 설비상의 잘못이거나 단차부가 상부 전극과 불균일하게 접촉하기 때문일 것이다.
도 5에는 앞에서 도 2b를 설명할 때 개시한 공정 조건을 사용하여 블랭킷 열 산화막 웨이퍼를 식각할 경우에 단차부의 내경이 식각율 프로파일에 미치는 영향을 보여주는 그래프가 도시되어 있다. 모든 경우에, 15도의 표준 경사각을 갖는 Si 에지 링 및 석영 커플링 링을 사용하였다. 단차부는 Si으로 만들었으며, 두께는 0.1인치이다. 상부 전극 상의 단차부 내경이 8.25인치인 경우(■)에는, 가장자리에서 빠른 프로파일이 얻어졌다. 단차부의 내경이 8.6인치인 경우(▲)에는, 실질적으로 평평한 식각율 프로파일이 얻어졌다. 단차부의 내경이 9.0인치인 경우(●)에는, 단차부가 미치는 영향은 현저하게 감소하고 가장자리 식각율은 급격하게 떨어진다. 비교를 위하여, 종래의 평평한 Si 상부 전극을 사용할 경우(▼)의 식각율이 또한 도시되어 있다.
도 6a 내지 도 6d에는 블랭킷 열 산화막 웨이퍼 안으로 식각된 구조물을 보여주는 마이크로그래프(micrograph)이다. 도 6a 및 도 6b에서, 평평한 상부 전극을 포함하는 전극 설비를 사용하여 상기한 구조물을 식각하였다. 도 6a에는 웨이퍼의 가장자리 부근의 식각된 구조물이 도시되어 있으며, 도 6b에는 웨이퍼의 중심 부근의 식각된 구조물이 도시되어 있다. 도 6a 및 도 6b에 도시된 바와 같이, 웨이퍼의 가장자리 부근에서 식각율이 더 낮기 때문에, 가장자리에 있는 구조물은 중심부에 있는 구조물만큼 깊게 식각되지는 않는다. 도 6c 및 도 6d에는 두께가 0.1인치이고 내경이 8.6인치인 단차부를 가지는 상부 전극을 사용하여 식각된 구조물이 도시되어 있다. 도 6c에는 단차부를 갖는 전극을 사용하여 식각된 웨이퍼의 가장자리 부근의 구조물이 도시되어 있으며, 도 6d에는 단차부를 구비한 전극을 사용하여 식각된 웨이퍼의 중심 부근의 구조물이 도시되어 있다. 도 6c 및 도 6d에 도시된 바와 같이, 가장자리 및 중심부에 있는 구조물은 거의 같은 깊이까지 식각되었다.
도 7에는 RF 동력비(power ratio)에 대한 드롭아웃(dropout) 압력의 관계를 여러 가지 형태의 단차부에 대하여 보여주는 그래프가 도시되어 있다. 드롭아웃 압력은 그 압력 이하에서는 플라즈마가 더 이상 유지될 수 없는 압력이다. RF 동력비는 2MHz의 주파수에서 가해지는 동력량에 대한 27MHz의 주파수에서 하부 전극에 가해지는 동력량의 비이다. 테스트에 사용된 상부 전극의 형상은 표 1에 개시되어 있다.
그래프 전극 유형 단차부의 내경(인치) 단차부의 외경(인치) 단차부의 두께(인치)
분리형 단차부 8.6 10.35 .1
일체형 단차부 8.7 10.35 .125
일체형 단차부 8.8 10.35 .125
분리형 단차부 8.6 9 .1
× 평평한 전극 N/A N/A N/A
일체형 단차부 8.8 9.5 .125
도 7의 그래프에 도시된 바와 같이, 외경이 더 작은(9인치 및 9.5인치) 단차부를 갖는 상부 전극을 사용하면 외경이 큰(10.35인치) 단차부를 갖는 상부 전극을 사용할 경우 보다 낮은 압력에서 플라즈마를 유지할 수가 있다. 외경이 작은 단차부를 갖는 상부 전극의 단차부의 바깥 공간은 플라즈마가 차지할 수 있는 추가적인 공간을 제공한다. 이러한 추가적인 공간으로 인하여 플라즈마를 더 낮은 압력에서도 유지할 수 있는 것으로 생각된다.
표 2에는 본 발명에 따른 단차부를 갖는 상부 전극으로 실현할 수 있는 식각율 균일성의 개선 정도가 개시되어 있다. 세 가지 다른 형태의 웨이퍼 즉, 블랭킷 열 산화막, 패턴 열 산화막 및 BPSG 콘택 식각용 웨이퍼를 가지고 테스트를 하였다. 엘립소미터를 사용하여 웨이퍼의 (가장자리와 가장자리를 잇는) 직경을 따라서 블랭킷 열 산화막 웨이퍼에 대한 식각율 균일성을 측정하였다. SEM을 사용하여 (중심과 가장자리를 잇는) 반지름을 따라서 BPSG 콘택 깊이를 측정하였다. 패턴 열 산화막 웨이퍼에 대한 식각율 균일성은 프로파일로미터(profilometer)를 사용하여 측정하였는데, 가장자리에서 가장자리까지 측정하였다. 단차부를 가진 전극은 Si 단차부의 두께가 0.1인치이고 경사각(α)의 각도가 150인 Si 전극을 사용하였다.
식각율 균일성(%)
웨이퍼 포인트 수 평평한 전극 ID가 8.6″인 단차부를 구비한 전극
블랭킷 열산화막 18 ±10% ±3.3%
패턴 열산화막 17 ±7.4% ±1.3%
BPSG 콘택 9 ±7.2% ±3.3%
식각 균일성에 개시된 퍼센트는 다음 식을 사용하여 계산한다:
*[(최대-최소)/2×평균]×100%
표 2에 개시된 바와 같이, 단차부를 갖는 전극을 사용하면 식각율 균일성을 상당히 향상시킬 수 있다.
단차부의 내면과 외면은 전극과 단차부의 꼭대기(top) 사이에서 점진적인 전이가 이루어지도록 하는 모양이 되는 것이 바람직하다. 바람직한 실시예에서는, 단차부의 외면과 내면이 전극의 실질적으로 평평한 중앙부와 90도 이상의 각도를 형성해서 단차부가 사다리꼴 모양의 단면을 가진다. 그러나, 단차부의 단면 모양은 다른 모양일 수도 있다. 단차부의 내면과 외면은 평평한 모양일 필요가 없으며 오목한 모양이거나 볼록한 모양일 수 있다. 단차부는 평평하지 않은 상면을 또한 가질 수도 있다. 예를 들어, 단차부는 단면이 삼각형이거나 또는 반구형일 수 있으며 또는 복합 곡률(complex curvature)을 가지는 상당히 다른 형상(configuration)일 수도 있다.
상기한 바와 같이, 소망하는 식각율 프로파일을 얻을 수 있도록 웨이퍼의 가장자리에 대한 단차부의 내경이나 단차부의 위치를 조절할 수 있다. 단차부의 내경은 웨이퍼의 직경보다 작거나, 같거나 또는 더 클 수도 있다. 단차부의 위치도 소망하는 식각율 프로파일을 얻을 수 있도록 다른 공정 변수와 관련하여 조절할 수 있다. 식각율 프로파일을 결정하는 다른 공정 변수에는 단차부를 형성하는 재료뿐만이 아니라 (단차부의 두께 및 단차부의 내면의 곡률값이나 각도와 같은) 단차부의 기하학적인 구조(feature)가 포함된다. 에지 링의 기하학적인 구조 및 에지 링을 만드는 재료 또한 식각율 프로파일에 영향을 미친다. 즉, 단차부와 에지 링을 만드는 재료의 유전율(dielectric value)을 바꿈으로써, 웨이퍼 에지 영역에서 하부 전극에 대한 커플링을 변화시킬 수 있다. 예를 들어, 에지 링이 석영과 같은 절연 물질로 만들어지는 경우에는, 에지 링보다는 웨이퍼를 통하여 동력을 더 많이 집중시킬 수 있다. 그러나, 에지 링을 만드는 물질로 실리콘이나 실리콘 카바이드와 같이 보다 전기를 잘 통하는 물질을 사용하면, 웨이퍼뿐만 아니라 에지 링을 통해서도 훨씬 많은 동력을 커플링할 수 있다.
평행판 플라즈마 장치에서 전극 사이의 거리도 단차부가 형성되어 있는 영역에서의 식각율 프로파일에 많은 영향을 미친다. 일반적으로, 간극 폭(gap width)이 증가함에 따라서, 상부 전극 상에 있는 단차부의 에지 식각율 제어에 대한 영향은 더 작아진다. 그러나, 간극 폭이 아주 작은 경우에 단차부가 있는 전극을 사용하면, 에지 영역에서 플라즈마의 불안정을 초래할 수도 있다. 적절한 간극 폭을 선택하는 것은 공정을 진행하는 동안에 사용되는 가스의 압력에 부분적으로 의존한다. 높은 가스 압력( 〉75 mTorr 내지 1 Torr)에서, 예를 들어, 간극 폭은 1.0 cm 내지 1.5 cm 범위 내일 수 있다. 낮은 가스 압력( 10 - 75 mTorr)에서, 간극 폭은 1.3 cm 내지 2.0 cm가 보다 적절할 수 있다. 전극에 가해지는 동력의 주파수와 관련하여 간극 폭을 또한 조절할 수 있다. 간극 폭이 큰 경우에는 낮은 주파수가 보다 효과적인 것으로 밝혀졌으며, 그리고 간극 폭이 작은 경우에는 보다 높은 주파수를 사용할 수 있다.
비록 도면에 도시된 단차부는 전극의 앞면 중앙부 주위의 전체로 연장되지만, 전극의 외주 주위의 일부로 연장되는 하나 또는 그 이상의 단차부를 포함하는 것도 가능하다.
적절한 공정 가스는 식각되는 물질에 따라 달라질 수 있다. 실리콘 산화막과 같은 절연 물질에 대해서, 공정 가스는 Ar, O2, 그리고 C4F8, C3F6 및 CHF3과 같은 불화탄소류 물질일 수 있다. 그러나, 이러한 물질은 단지 예시적인 것이다. 게다가, 식각 공정을 진행하는 동안에 가스를 바꿀 수도 있다.
비록 전극을 "꼭대기(top)" 또는 "상부(upper)" 전극으로 지칭하고 도면에 묘사하였으나, 도시된 것과 같이 피처리면이 위를 향하는 것이 아니라 아래를 향하도록 피식각 기판이 고정되도록 장치를 만들 수도 있다. 이와 같은 방향을 가진 장치를 제조하는데는 클램핑 수단(clamping devices)이 이 기술분야에서 공지되어 있다. 게다가, 본 발명을 사용하여 원형 웨이퍼와는 다른 모양을 가진 기판을 또한 처리할 수도 있다. 그러나, 상부 전극 상의 단차부는 피처리 대상의 모양에 맞게 일반적으로 바꿀 수가 있다.
비록 본 발명의 바람직한 실시예와 관련하여 본 발명을 기술하였지만, 뒤따르는 청구항에 의해서 정해지는 본 발명의 기술적인 사상 및 범위내에서 본 명세서에서 구체적으로 기술하지 않은 부가, 생략, 수정 및 대체가 가능하다는 것은 당업자라면 쉽게 알 수 있다.
본 발명은 플라즈마를 사용하는 기판 처리 장치를 생산하는 분야나 이 장치를 사용하여 기판을 처리하는 집적 회로 제조 공정 분야에서 특히 유용하다.

Claims (32)

  1. 플라즈마 반응 챔버에 사용되는 샤워 헤드 전극에 있어서,
    중앙부;
    상기 전극의 중앙부에 있는 다수의 가스 출구로서, 상기 다수의 가스 출구를 통하여 상기 전극의 노출면으로부터 공정 가스가 전달될 수 있는, 상기 다수의 가스 출구; 및
    상기 전극의 노출면으로부터 돌출되어 있으며, 상기 전극의 주변부에 위치하고, 상기 전극의 중앙부 주위에 적어도 부분적으로 연장되며, 상기 전극의 노출면 및 상기 전극의 주변부에 인접하여 형성되는 상기 플라즈마의 밀도를 제어하는 단차부를 포함하며,
    상기 전극 및 단차부는 모놀리식 (monolithic) 피스의 실리콘을 포함하는, 샤워 헤드 전극.
  2. 제 1 항에 있어서,
    상기 전극의 중앙부는 실질적으로 평평한, 샤워 헤드 전극.
  3. 제 2 항에 있어서,
    상기 단차부는 상기 전극의 중앙부에 인접한 내면, 상기 전극의 실질적으로 평평한 표면에 실질적으로 평행한 밑면 및 상기 내면의 반대편에 위치한 외면을 가지는, 샤워 헤드 전극.
  4. 제 3 항에 있어서,
    상기 단차부의 내면은 실질적으로 평평한 상기 전극의 실질적으로 평평한 중앙부에 대하여 둔각을 형성하는, 샤워 헤드 전극.
  5. 제 4 항에 있어서,
    상기 내면은 상기 전극의 실질적으로 평평한 상기 중앙부와 90 내지 180 도의 각도를 형성하고, 상기 단차부의 외면은 상기 전극의 실질적으로 평평한 상기 중앙부에 평행한 평면과 90 내지 180 도의 각도를 형성하는, 샤워 헤드 전극.
  6. 제 1 항에 있어서,
    상기 단차부는 상기 전극의 중앙부 주위 전체로 연장되는, 샤워 헤드 전극.
  7. 제 1 항에 있어서,
    상기 전극은 실리콘, 실리콘 카바이드, 흑연 및 알루미늄으로 구성된 군에서 선택된 어느 하나의 물질로 만들어진, 샤워 헤드 전극.
  8. 플라즈마 챔버에서 반도체 기판을 처리하는 방법으로서,
    하부 전극 상에 상기 반도체 기판을 지지시키는 단계;
    상기 챔버에 공정 가스를 공급하는 단계;
    상부 전극의 노출면에 인접하여 플라즈마를 형성하는 단계로서, 상기 상부 전극은 다수의 가스 출구를 포함하는 샤워 헤드 전극이고, 상기 공정 가스는 상기 가스 출구를 통하여 상기 챔버 안으로 방출되는, 상기 플라즈마 형성 단계; 및
    상기 플라즈마로 상기 반도체 기판을 처리하는 단계를 포함하고,
    상기 상부 전극은 중앙부와 상기 중앙부를 둘러싸는 주변부를 가지며, 상기 주변부는, 상기 노출면으로부터 돌출되고, 상기 중앙부 주위에 적어도 부분적으로 연장되고, 상기 상부 전극의 노출면 및 상기 상부 전극의 주변부에 인접하여 형성되는 상기 플라즈마의 밀도를 제어하는 단차부를 포함하며,
    상기 플라즈마 챔버는 상기 하부 전극 상에 탑재되어 있는 에지 링을 더 포함하고, 상기 에지 링은 상기 하부 전극 상에 탑재된 상기 반도체 기판의 외주의 밑에 있는 리세스부 (recessed portion) 를 포함하고, 상기 에지 링의 적어도 일부는 상기 단차부와 대향하고 상기 단차부와 협력하여 상기 상부 전극의 노출면 및 상기 상부 전극의 주변부에 인접하여 형성되는 상기 플라즈마의 밀도를 제어하는, 기판 처리 방법.
  9. 제 8 항에 있어서,
    상기 단차부는 상기 상부 전극의 외주로부터 안쪽에 위치해서 상기 상부 전극의 일부가 상기 단차부를 넘어서 연장되어 있는, 기판 처리 방법.
  10. 제 8 항에 있어서,
    상기 반도체 기판은 반도체 웨이퍼를 포함하고, 상기 기판 처리 단계는 상기 플라즈마로 상기 반도체 웨이퍼를 식각하는 단계를 포함하는, 기판 처리 방법.
  11. 제 8 항에 있어서,
    상기 기판 처리 단계 동안에 상기 상부 전극은 접지시키고 상기 하부 전극에는 동력을 공급하는, 기판 처리 방법.
  12. 제 8 항에 있어서,
    상기 단차부는 상기 상부 전극 내의 그루브에 움직일 수 있게 탑재되어서 상기 단차부의 두께를 조절할 수 있는 분리형 피스를 포함하고,
    상기 반도체 기판 처리 방법은 상기 단차부를 움직여서 단차부 두께를 조절하는 단계를 더 포함하는, 기판 처리 방법.
  13. 반도체 소자 제조시 이용되는 플라즈마 챔버로서,
    그 사이에 간극을 형성하도록 서로 이격되어 있고, 각각의 표면이 서로 마주보는 상부 전극과 하부 전극을 포함하고,
    상기 하부 전극은 기판 지지대를 포함하고, 상기 상부 전극은, 다수의 가스 출구를 포함하며 상기 출구를 통하여 상기 챔버 안으로 공정 가스를 전달할 수 있는 샤워 헤드 전극이고, 상기 상부 전극은 중앙부와, 상기 상부 전극의 주변부로부터 돌출되었으며 상기 중앙부 주위에 적어도 부분적으로 연장되며, 상기 상부 전극의 노출면 및 상기 상부 전극의 주변부에 인접하여 형성되는 상기 플라즈마의 밀도를 제어하는 단차부를 포함하며,
    상기 하부 전극 상에 탑재되어 있는 에지 링을 더 포함하고, 상기 에지 링은 상기 하부 전극 상에 탑재된 반도체 기판의 외주 밑에 있는 리세스부를 포함하는, 플라즈마 챔버.
  14. 제 13 항에 있어서,
    상기 상부 전극의 중앙부는 실질적으로 평평한, 플라즈마 챔버.
  15. 제 14 항에 있어서,
    상기 단차부는 상기 상부 전극의 중앙부에 인접한 내면, 상기 상부 전극의 실질적으로 평평한 표면에 실질적으로 평행한 밑면 및 상기 내면의 반대편에 위치한 외면을 가지는, 플라즈마 챔버.
  16. 제 15 항에 있어서,
    상기 단차부의 내면과 상기 단차부의 외면은 상기 실질적으로 평평한 중앙부에 평행한 평면에 대하여 90 내지 180 도의 각을 형성하는, 플라즈마 챔버.
  17. 제 13 항에 있어서,
    상기 단차부는 상기 상부 전극 내의 그루브에 움직일 수 있게 탑재되어서 상기 단차부의 두께를 조절할 수 있는 분리형 피스를 포함하는, 플라즈마 챔버.
  18. 제 13 항에 있어서,
    상기 단차부는 분리형 피스로 형성되고 상기 상부 전극의 노출면에 결합되어 있는, 플라즈마 챔버.
  19. 제 13 항에 있어서,
    상기 플라즈마 챔버는 반도체 식각 장치인, 플라즈마 챔버.
  20. 제 13 항에 있어서,
    상기 상부 전극은 실리콘, 실리콘 카바이드, 흑연 및 알루미늄으로 이루어진 군에서 선택된 어느 하나의 물질로 만들어지고,
    상기 에지 링은 석영, 실리콘, 실리콘 카바이드, 흑연 및 알루미늄으로 이루어진 군에서 선택된 어느 하나의 물질로 만들어지는, 플라즈마 챔버.
  21. 제 13 항에 있어서,
    상기 에지 링은 상기 리세스부에 인접한 경사면을 포함하는, 플라즈마 챔버.
  22. 제 21 항에 있어서,
    상기 경사면은 그 위에 상기 기판이 지지되는 상기 하부 전극의 표면에 수직인 평면에 대하여 5 내지 60 도의 각을 형성하는, 플라즈마 챔버.
  23. 제 13 항에 있어서,
    상기 에지 링은 석영, 실리콘, 실리콘 카바이드, 흑연 및 알루미늄으로 이루어진 군에서 선택된 어느 하나의 물질로 만들어지는, 플라즈마 챔버.
  24. 제 1 항에 있어서,
    상기 단차부는 전기적으로 도전성 물질인, 샤워 헤드 전극.
  25. 제 13 항에 있어서,
    상기 상부 전극은, 상기 기판 지지대상에 탑재된 상기 기판을 덮고 상기 단차부가 상기 하부 전극 상에 탑재된 상기 에지 링을 덮도록 디멘저닝된, 플라즈마 챔버.
  26. 반도체 소자 제조시 이용되는 플라즈마 챔버로서,
    그 사이에 간극을 형성하도록 서로 이격되어 있고, 각각의 표면이 서로 마주보는 상부 전극과 하부 전극을 포함하고,
    상기 하부 전극은 기판 지지대를 포함하고, 상기 상부 전극은, 다수의 가스 출구를 포함하며 상기 출구를 통하여 상기 챔버 안으로 공정 가스를 전달할 수 있는 샤워 헤드 전극이고, 상기 상부 전극은 중앙부와, 상기 상부 전극의 주변부로부터 돌출되었으며 상기 중앙부 주위에 적어도 부분적으로 연장되며, 상기 상부 전극의 노출면 및 상기 상부 전극의 주변부에 인접하여 형성되는 상기 플라즈마의 밀도를 제어하는 단차부를 포함하며,
    상기 상부 전극은 외측 에지를 포함하고, 상기 단차부는 상기 중앙부와 상기 외측 에지 사이의 위치에서 상기 상부 전극을 가로질러 연장되는, 플라즈마 챔버.
  27. 반도체 소자 제조시 이용되는 플라즈마 챔버로서,
    그 사이에 간극을 형성하도록 서로 이격되어 있고, 각각의 표면이 서로 마주보는 상부 전극과 하부 전극을 포함하고,
    상기 하부 전극은 기판 지지대를 포함하고, 상기 상부 전극은, 다수의 가스 출구를 포함하며 상기 출구를 통하여 상기 챔버 안으로 공정 가스를 전달할 수 있는 샤워 헤드 전극이고, 상기 상부 전극은 중앙부와, 상기 상부 전극의 주변부로부터 돌출되었으며 상기 중앙부 주위에 적어도 부분적으로 연장되며, 상기 상부 전극의 노출면 및 상기 상부 전극의 주변부에 인접하여 형성되는 상기 플라즈마의 밀도를 제어하는 단차부를 포함하며,
    상기 단차부는 0.1 인치 내지 0.125 인치의 두께를 갖고, 상기 상부 전극의 부가적인 노출면을 형성하며, 그 안에 가스 출구를 포함하지 않는, 플라즈마 챔버.
  28. 제 13 항에 있어서,
    상기 단차부는 전기적으로 도전성 물질인, 플라즈마 챔버.
  29. 플라즈마 반응 챔버에 사용되는 샤워 헤드 전극을 제조하는 방법에 있어서,
    상기 전극의 중앙부에 있는 다수의 가스 출구를 형성하는 단계로서, 상기 다수의 가스 출구를 통하여 상기 전극의 노출면으로부터 공정 가스가 전달될 수 있는, 상기 다수의 가스 출구를 형성하는 단계; 및
    상기 전극의 노출면으로부터 돌출되어 있으며, 상기 전극의 주변부에 위치하고, 상기 전극의 중앙부 주위에 적어도 부분적으로 연장되며, 상기 전극의 노출면에 인접하여 형성되는 상기 플라즈마의 밀도를 제어하는데 효과적인 구성을 갖는 단차부를 형성하는 단계를 포함하며,
    상기 전극 및 단차부는 모놀리식 피스의 실리콘을 포함하는, 샤워 헤드 전극의 제조 방법.
  30. 제 8 항에 있어서,
    상기 상부 전극 및 단차부는 모놀리식 피스의 실리콘을 포함하는, 기판 처리 방법.
  31. 제 13 항에 있어서,
    상기 상부 전극 및 단차부는 모놀리식 피스의 실리콘을 포함하는, 플라즈마 챔버.
  32. 플라즈마 반응 챔버에 사용되는 샤워 헤드 전극에 있어서,
    중앙부;
    상기 전극의 중앙부에 있는 다수의 가스 출구로서, 상기 다수의 가스 출구를 통하여 상기 전극의 노출면으로부터 공정 가스가 전달될 수 있는, 상기 다수의 가스 출구; 및
    상기 전극의 노출면으로부터 돌출되어 있으며, 상기 전극의 주변부에 위치하고, 상기 전극의 중앙부 주위에 적어도 부분적으로 연장되며, 상기 전극의 노출면 및 상기 전극의 주변부에 인접하여 형성되는 상기 플라즈마의 밀도를 제어하는 단차부를 포함하며,
    상기 전극은 외측 에지를 포함하고 상기 단차부는 상기 외측 에지까지 연장되는, 샤워 헤드 전극.
KR1020107024608A 2000-10-13 2001-10-10 플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부 전극 KR101118003B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/689,845 2000-10-13
US09/689,845 US6391787B1 (en) 2000-10-13 2000-10-13 Stepped upper electrode for plasma processing uniformity
PCT/US2001/042611 WO2002031859A2 (en) 2000-10-13 2001-10-10 Stepped upper electrode for plasma processing uniformity

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020087007704A Division KR101028385B1 (ko) 2000-10-13 2001-10-10 플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부전극

Publications (2)

Publication Number Publication Date
KR20100124350A true KR20100124350A (ko) 2010-11-26
KR101118003B1 KR101118003B1 (ko) 2012-02-24

Family

ID=24770099

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020087007704A KR101028385B1 (ko) 2000-10-13 2001-10-10 플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부전극
KR1020107024608A KR101118003B1 (ko) 2000-10-13 2001-10-10 플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부 전극
KR1020037005139A KR100831193B1 (ko) 2000-10-13 2001-10-10 플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부 전극

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020087007704A KR101028385B1 (ko) 2000-10-13 2001-10-10 플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부전극

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020037005139A KR100831193B1 (ko) 2000-10-13 2001-10-10 플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부 전극

Country Status (10)

Country Link
US (2) US6391787B1 (ko)
EP (1) EP1336191B1 (ko)
JP (1) JP4180913B2 (ko)
KR (3) KR101028385B1 (ko)
CN (2) CN100437930C (ko)
AT (1) ATE453206T1 (ko)
AU (1) AU2002211886A1 (ko)
DE (1) DE60140893D1 (ko)
TW (1) TW516123B (ko)
WO (1) WO2002031859A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023043091A1 (ko) * 2021-09-14 2023-03-23 주식회사 티이엠 조립형 프로파일 상부 전극 및 이를 포함하는 플라즈마 처리 장치

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
KR100397891B1 (ko) * 2001-07-25 2003-09-19 삼성전자주식회사 반도체 장치 식각설비의 척 조립체
WO2003025982A1 (en) * 2001-09-17 2003-03-27 Advion Biosciences, Inc. Uniform patterning for deep reactive ion etching
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
TW200626020A (en) * 2001-12-13 2006-07-16 Tokyo Electron Ltd Ring mechanism, and plasma processor using the ring mechanism
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
KR101075046B1 (ko) * 2002-05-23 2011-10-19 램 리써치 코포레이션 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
KR100657054B1 (ko) * 2003-01-07 2006-12-13 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 포커스 링
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
WO2004095529A2 (en) * 2003-03-21 2004-11-04 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
JP4563729B2 (ja) * 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
TW200520632A (en) * 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US20050130620A1 (en) * 2003-12-16 2005-06-16 Andreas Fischer Segmented radio frequency electrode apparatus and method for uniformity control
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP2005217240A (ja) * 2004-01-30 2005-08-11 Matsushita Electric Ind Co Ltd ドライエッチング装置およびドライエッチング方法
US20050193951A1 (en) * 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
JP4647228B2 (ja) * 2004-04-01 2011-03-09 株式会社ディスコ ウェーハの加工方法
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US7601246B2 (en) * 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7563633B2 (en) * 2006-08-25 2009-07-21 Robert Bosch Gmbh Microelectromechanical systems encapsulation process
US20080066868A1 (en) * 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
KR100769522B1 (ko) * 2006-10-25 2007-11-06 주식회사 유진테크 화학기상증착장치의 샤워헤드
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US20080296261A1 (en) * 2007-06-01 2008-12-04 Nordson Corporation Apparatus and methods for improving treatment uniformity in a plasma process
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
CN102543798A (zh) * 2007-07-12 2012-07-04 应用材料公司 处理基板边缘区域的装置与方法
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
KR101573962B1 (ko) * 2008-08-19 2015-12-02 램 리써치 코포레이션 정전척용 에지 링
US8382941B2 (en) 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
JP5635001B2 (ja) * 2008-09-26 2014-12-03 ラム リサーチ コーポレーションLam Research Corporation 結合リングをクロック回転させることによって調整可能な静電チャックとホットエッジリングとの間の熱的接触
US9493875B2 (en) 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
WO2010062345A2 (en) * 2008-10-31 2010-06-03 Lam Research Corporation Lower electrode assembly of plasma processing chamber
CN101740298B (zh) 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8253058B2 (en) * 2009-03-19 2012-08-28 Integrated Photovoltaics, Incorporated Hybrid nozzle for plasma spraying silicon
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8538572B2 (en) 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8618807B2 (en) 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
CN102804929B (zh) * 2009-06-30 2015-11-25 朗姆研究公司 用于处理室的预测性预防性维护的方法和装置
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8983631B2 (en) 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
US8357263B2 (en) * 2010-10-05 2013-01-22 Skyworks Solutions, Inc. Apparatus and methods for electrical measurements in a plasma etcher
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
JP5912637B2 (ja) * 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
EP2654070A1 (fr) 2012-04-16 2013-10-23 INDEOtec SA Réacteur plasma de type capacitif pour le dépôt de films minces
US9252002B2 (en) 2012-07-17 2016-02-02 Applied Materials, Inc. Two piece shutter disk assembly for a substrate process chamber
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9393666B2 (en) 2013-12-20 2016-07-19 Lam Research Corporation Adapter plate for polishing and cleaning electrodes
JP2015162558A (ja) 2014-02-27 2015-09-07 東京エレクトロン株式会社 プラズマ処理装置及び被処理体を処理する方法
KR101640488B1 (ko) * 2014-09-16 2016-07-25 주식회사 월덱스 플라즈마 에칭장치용 일렉트로드의 결합구조 및 결합방법
US10115573B2 (en) * 2014-10-14 2018-10-30 Applied Materials, Inc. Apparatus for high compressive stress film deposition to improve kit life
CN105185732A (zh) * 2015-08-24 2015-12-23 沈阳拓荆科技有限公司 一种可改变晶圆表面薄膜形貌的陶瓷环
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US11227748B2 (en) 2016-03-03 2022-01-18 Core Technology, Inc. Plasma treatment device and structure of reaction vessel for plasma treatment
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
CN109961999B (zh) * 2017-12-22 2021-03-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头及防止聚合物积聚的方法
US20190244793A1 (en) * 2018-02-05 2019-08-08 Lam Research Corporation Tapered upper electrode for uniformity control in plasma processing
JP7404268B2 (ja) 2018-04-18 2023-12-25 アプライド マテリアルズ インコーポレイテッド 自己センタリング特徴を有するツーピースシャッタディスクアセンブリ
WO2019221972A1 (en) 2018-05-12 2019-11-21 Applied Materials, Inc. Pre-clean chamber with integrated shutter garage
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
KR20200131432A (ko) 2019-05-14 2020-11-24 삼성전자주식회사 샤워 헤드 어셈블리 및 이를 갖는 플라즈마 처리 장치
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2022068583A (ja) 2020-10-22 2022-05-10 東京エレクトロン株式会社 プラズマ処理装置
KR102580583B1 (ko) * 2021-08-10 2023-09-21 피에스케이 주식회사 기판 처리 장치
KR102617128B1 (ko) * 2021-09-14 2023-12-27 주식회사 케이씨파츠텍 조립형 프로파일 상부 전극 및 이를 포함하는 플라즈마 처리 장치
WO2023154115A1 (en) * 2022-02-09 2023-08-17 Lam Research Corporation Etch uniformity improvement in radical etch using confinement ring

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4230515A (en) 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4792379A (en) * 1984-04-18 1988-12-20 Long Mile Rubber Company Apparatus for recapping a tire with a flexible segmented mold
US4610774A (en) 1984-11-14 1986-09-09 Hitachi, Ltd. Target for sputtering
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4820371A (en) 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
DE4011933C2 (de) 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH0529275A (ja) * 1991-07-23 1993-02-05 Kokusai Electric Co Ltd プラズマエツチング方法及び装置
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5298103A (en) * 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5472565A (en) 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
TW299559B (ko) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5628869A (en) 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US6010636A (en) 1995-12-29 2000-01-04 Lam Research Corporation Electrode with domes for plasma focusing
JPH09306896A (ja) * 1996-03-15 1997-11-28 Sumitomo Metal Ind Ltd プラズマ処理装置およびプラズマ処理方法
JPH1064831A (ja) 1996-08-20 1998-03-06 Fujitsu Ltd 気相成長装置
KR100252210B1 (ko) 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023043091A1 (ko) * 2021-09-14 2023-03-23 주식회사 티이엠 조립형 프로파일 상부 전극 및 이를 포함하는 플라즈마 처리 장치

Also Published As

Publication number Publication date
CN100437930C (zh) 2008-11-26
CN101308779A (zh) 2008-11-19
KR101028385B1 (ko) 2011-04-13
US6824627B2 (en) 2004-11-30
KR100831193B1 (ko) 2008-05-21
WO2002031859A9 (en) 2003-05-22
WO2002031859A3 (en) 2002-09-12
JP2004511906A (ja) 2004-04-15
TW516123B (en) 2003-01-01
KR20040005836A (ko) 2004-01-16
US20020187647A1 (en) 2002-12-12
EP1336191B1 (en) 2009-12-23
DE60140893D1 (de) 2010-02-04
EP1336191A2 (en) 2003-08-20
KR101118003B1 (ko) 2012-02-24
CN1723528A (zh) 2006-01-18
AU2002211886A1 (en) 2002-04-22
WO2002031859A2 (en) 2002-04-18
JP4180913B2 (ja) 2008-11-12
CN100589228C (zh) 2010-02-10
US6391787B1 (en) 2002-05-21
ATE453206T1 (de) 2010-01-15
KR20080034047A (ko) 2008-04-17

Similar Documents

Publication Publication Date Title
KR101118003B1 (ko) 플라즈마 공정의 균일성 향상을 위한 단차부가 있는 상부 전극
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR100726381B1 (ko) 반도체 처리용 가스 분배 장치
KR100352770B1 (ko) 식각균일도개선을 위한 표면기복유도 플라즈마 향상기술
JP6302000B2 (ja) 静電チャックアセンブリ及びプラズマ処理装置
JP3166974U (ja) プラズマエッチングチャンバ用エッジリング組立体
US20020017364A1 (en) Systems and methods for two-sided etch of a semiconductor substrate
KR20090068283A (ko) 석영 가드 링
US6482747B1 (en) Plasma treatment method and plasma treatment apparatus
US20010009177A1 (en) Systems and methods for two-sided etch of a semiconductor substrate
JPH10242118A (ja) 半導体装置の製造装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150128

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160126

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170203

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180131

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200131

Year of fee payment: 9