CN100437930C - 用于实现均匀等离子处理的阶梯式上部电极 - Google Patents

用于实现均匀等离子处理的阶梯式上部电极 Download PDF

Info

Publication number
CN100437930C
CN100437930C CNB018172628A CN01817262A CN100437930C CN 100437930 C CN100437930 C CN 100437930C CN B018172628 A CNB018172628 A CN B018172628A CN 01817262 A CN01817262 A CN 01817262A CN 100437930 C CN100437930 C CN 100437930C
Authority
CN
China
Prior art keywords
electrode
ladder
plasma
plasma chamber
core
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB018172628A
Other languages
English (en)
Other versions
CN1723528A (zh
Inventor
拉金德尔·德辛德萨
穆昆德·斯利尼瓦桑
艾伦·爱普勒
埃里克·兰兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1723528A publication Critical patent/CN1723528A/zh
Application granted granted Critical
Publication of CN100437930C publication Critical patent/CN100437930C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

本发明公开了一种等离子放射电极,该等离子放射电极具有正面,该正面具有:中心部分,包括喷出用于产生等离子的处理气体的出气孔;以及外围部分,大致围绕出气孔。外围部分至少具有一个用于控制电极产生的等离子密度的阶梯。该电极可以用作诸如等离子蚀刻设备的平行板等离子处理设备内的接地上部电极。可以改变阶梯和位于下部电极上的相应边缘环的几何特征图形,以在圆片表面上获得要求的蚀刻速率分布。

Description

用于实现均匀等离子处理的阶梯式上部电极
技术领域
本发明一般地涉及利用高能辐照或等离子进行蚀刻的方法,更具体地说,本发明涉及一种在集成半导体电路制造过程中用于控制圆片边缘的蚀刻速率的方法和设备。
背景技术
自二十世纪六十年代中叶以来,集成半导体电路逐渐成为大多数电子系统的主要部件。这些小型化的电子器件可以含有成千个晶体管以及构成微型计算机中央处理单元的内存和逻辑子系统的其它电路。这些计算机芯片的低成本、高可靠性以及运行速度使得它们成为现代数字电子学的无所不在的特征。
集成电路芯片的制造过程通常以被称为“圆片”的、高纯度,单晶半导体材料衬底的薄、抛光片开始。对每个圆片进行一系列物理处理步骤和化学处理步骤,以在圆片上成型各种电路结构。在制造过程中,利用各种技术,在圆片上沉积各种薄膜,例如利用热氧化法产生二氧化硅薄膜,利用化学汽相沉积法产生硅薄膜、二氧化硅薄膜以及硝酸硅薄膜,利用溅镀或其它技术产生其它金属薄膜。
在半导体圆片上沉积薄膜后,通过利用被称为掺杂的方法,将选择的杂质植入半导体晶格产生半导体的唯一电特性。然后,可以对掺杂硅圆片喷涂一薄层被称为“抗蚀剂”的光敏材料或辐射敏感材料,然后,可以利用被称为光刻技术的方法,将用于确定电路中的电子通路的小几何图形转移到抗蚀剂上。在光刻过程中,可以将集成电路图形绘制到被称为“掩膜”的玻璃板上,然后,可有选择地去除集成电路图形,并将集成电路图形投影转移到覆盖抗蚀剂的光敏涂层上。
然后,利用被称为蚀刻的方法,将光刻抗蚀剂图形转移到半导体材料的下结晶面上。传统的蚀刻方法采用湿化学物质,已经证明该方法限制了可以成型在圆片上的特征图形的尺寸和宽高比(即,得到的刻痕的高度与宽度之比)。因此,传统化学蚀刻方法限制了可以封装到单个湿蚀刻圆片上的电路数量,并因此限制了电子器件的极限尺寸。
为了克服化学蚀刻的限制,后来开发了干等离子蚀刻技术、活性离子蚀刻技术以及离子碾磨技术。具体地说,等离子蚀刻技术可以使垂直蚀刻速率远高于水平蚀刻速率,因此,可以充分控制蚀刻特征图形的得到的宽高比。事实上,等离子蚀刻技术可以在厚度接近1微米的薄膜上成型高宽高比的非常精细的特征图形。
在等离子蚀刻过程中,通过在低压下对气体施加大量能量,在圆片的带掩膜表面上产生等离子。这通常是在约0.001大气压下在气体内产生放电实现的。结果等离子可能含有离子、自由基以及高动能中性物质。通过调节待蚀刻衬底的电势,可以使等离子内的带电颗粒对圆片的不带掩膜区域进行冲击,从而去除衬底上的原子。
通常,利用对被蚀刻物质具有化学活性的气体,可以更有效进行蚀刻。所谓“活性离子蚀刻技术”综合了等离子的高能蚀刻作用和气体的化学蚀刻作用。然而,已经发现许多化学活性剂会导致额外电极损伤。
为了在圆片的整个表面上实现均匀蚀刻速率,最好在圆片表面均匀分布等离子。例如,授予Rose等人的第4,792,378号和第4,820,371号美国专利公开了一种簇射喷头电极(shower head electrode),用于通过该电极上的大量孔分布气体。这两项专利一般地描述了一种气体弥散盘,为了对半导体圆片提供均匀气流,它具有小孔排列满足反应室内存在的特定压力梯度。气体弥散盘试图用作选择隔板,用于抵消在气体弥散盘下的梯度压力,并通过簇射喷头电极提供均匀气流以分布在圆片的整个表面上。诸如泵送口的等离子放射系统内的任何间断或不规划均会影响在簇射喷头下面产生的等离子的密度。
由于集成电路制造过程对颗粒和杂质污染非常敏感,在蚀刻过程中,即使是空气中小至1微米的悬浮颗粒物质也必须防止它们接触圆片表面。因此,通常,最好将等离子限定在刚好在圆片衬底之上并围绕圆片衬底的区域内。例如,Sakata等人(第4,610,774号美国专利)公开的围绕溅镀目标的环形壁,对于在溅镀过程中趋向逃离等离子的这些电子,它可以排斥它们,并使它们返回中心。同样,Ishii等人(第5,571,366号美国专利)公开了一种用于等离子处理设备的送气装置。它具有围绕其周长的环形喷射器,该喷射器将气体集中到待处理对象的目标表面上。Maydan等人(第5,643,394号美国专利)公开了一种等离子室盖,它具有用于将注射气体聚集到被处理圆片的中心的环形反射器。
通常在平行板反应设备内进行等离子处理过程,在该平行板反应设备中由一对精密间隔的电极板确定反应容积。第4,960,488、5,074,456、5,569,356和6,073,577号美国专利公开了用于处理诸如硅衬底的半导体衬底的平行板等离子处理反应器的例子。然而,在平行板等离子反应器中使用平板式电极可能导致衬底表面上的等离子密度不均匀。通常,靠近衬底边缘的区域的等离子密度大于中心的等离子密度。因此,在等离子蚀刻过程中使用传统平行板反应器会降低靠近被处理衬底边缘的蚀刻速率。
为了提高等离子处理过程中的均匀性,已经公开了成形的电极。请参考例如:Mundt等人(第4,297,162号美国专利)、Kim等人(第5,990,016号美国专利)、Mallon(第5,628,869号美国专利)、Donobue等人(第6,010,636号美国专利)、Salimian(第5,716,485号美国专利)、Zajac(第4,230,515号美国专利)。还请参考授予Mundt等人的两个共有专利(第5,472,565号美国专利和第5,714,031号美国专利)。
发明内容
本发明提供了一种用于等离子反应室的电极。该电极具有包括多个出气孔的中心部分,通过该出气孔,可以从电极的外露表面送出处理气体。阶梯位于电极的外围部分并至少部分围绕电极的中心部分延伸。阶梯可以提高在电极外露表面附近产生的等离子的密度。电极的中心部分是大致为平面。在优选实施例中,阶梯以这样的方式位于电极外围的内部,即该电极延伸到阶梯之外。
本发明还提供了一种在等离子室内处理半导体衬底的方法。该方法包括:将半导体衬底支持在底部电极上;将处理气体送入等离子室内;在上部电极外露表面的附近产生等离子;以及利用等离子处理半导体衬底。上部电极具有中心部分和外围部分,外围部分包括从其外露表面凸出的阶梯,该阶梯至少部分围绕中心部分延伸。该阶梯使在电极外露表面附近产生的等离子具有预定局部密度。上部电极可以是具有中心部分的簇射喷头电极,该中心部分具有一个或者多个出气孔,用于将处理气体注射到等离子室内。
本发明还提供了一种用于制造半导体器件的等离子室。该等离子室包括具有互相相对又互相分离以在其间确定间隙的各表面的顶部电极和底部电极。底部电极包括衬底支撑。顶部电极包括中心部分和从其外围部分凸出并至少部分围绕该中心部分延伸的阶梯。该阶梯影响阶梯区域内在顶部电极外露表面附近产生的等离子的局部密度。等离子室还可以包括安装在底部电极上的边缘环。该边缘环可以与上部电极上的阶梯一起在电极外露表面附近提供预定局部等离子密度。
附图的简要说明
现在,将参考附图详细说明本发明。
图1A示出其中电极安装在平行板等离子设备内的根据本发明实施例的阶梯式上部电极;
图1B示出根据本发明另一个实施例具有整体阶梯的平行板等离子设备的圆片边缘区域的细节;
图1C示出根据本发明另一个实施例具有可调厚度分离阶梯的平行板等离子设备的圆片边缘区域的细节;
图2A示出根据本发明的单圆片平行板等离子设备的圆片边缘区域的细节;
图2B是示出与利用传统平板式上部电极的蚀刻速率分布进行比较,利用图2A所示的、具有8.6英寸ID阶梯式上部电极的配置进行掩蔽氧化层蚀刻时圆片上的蚀刻速率分布的曲线图;
图2C是示出阶梯对具有0.2微米的蚀刻宽度和接近9∶1的宽高比的、BPSG内的接点蚀刻深度的影响的曲线图;
图2D是示出改变围绕下部电极上的圆片的边缘环的倾角对蚀刻速率分布产生的影响的曲线图;
图3A是示出与采用传统平板式Si(硅)上部电极相比,在掩蔽热氧化层蚀刻过程中采用一件Si阶梯式上部电极在圆片上的蚀刻速率分布的曲线图;
图3B是示出与采用传统平板式Si上部电极相比,采用一件Si阶梯式上部电极时BPSG内的被蚀刻接点的蚀刻宽度的曲线图;
图4A示出包括具有窄(小OD)Si阶梯、其外围与电极外围的内部隔开的上部Si电极的电极配置;
图4B是示出采用图4A所示配置时窄Si阶梯内径对蚀刻速率分布的影响的曲线图;
图4C是示出采用图4A所示配置时热边缘环(HER)的材料对蚀刻速率分布的影响的曲线图;
图5是示出与平板式硅电极相比,阶梯内径对蚀刻速率分布的影响的曲线图;
图6A-6D是蚀刻特征图形的显微照片,其中图6A和6B是示出利用平板式Si上部电极分别蚀刻到圆片的边缘和中心区域内的特征图形的显微照片,而图6C和6D是示出利用阶梯式Si上部电极分别蚀刻到圆片的边缘和中心区域内的特征图形的显微照片;以及
图7是示出与平板式Si上部电极相比,采用具有各种阶梯几何图形的阶梯式Si上部电极时失落压力与RF功率比之间的关系曲线图。
发明详述
本发明提供了一种用于改善诸如硅圆片的半导体衬底、平板显示衬底等的等离子处理过程中的均匀性的新颖配置。通过调节被处理衬底外围区域上的等离子密度可以实现这种改善的等离子处理过程。利用阶梯式电极可以改善均匀性。通过改变围绕被处理衬底的热边缘环(HER)的材料、形状和/或功率可以进一步进行改进。
根据本发明的阶梯式电极可以应用于等离子蚀刻过程,用于在平行板等离子反应室内提高靠近圆片边缘的等离子密度,从而在衬底上获得更均匀蚀刻速率。尽管基于等离子蚀刻过程的优点对本发明进行了说明,但是本发明还可以用于要求均匀密度等离子的其它应用中,例如,等离子增强型化学汽相沉积和等离子清洗。
本发明提供了一种具有中心部分和外围部分的簇射喷头电极,其外围部分具有可以控制该电极形成的等离子的局部密度的阶梯。外围部分在对着诸如圆片的半导体衬底的电极表面上至少包括一个阶梯。可以成型该阶梯以通过改变从底部电极耦合的局部功率来改变等离子密度。结合下部电极上的边缘环,使用上部电极上的阶梯甚至可以在更大程度上控制边缘蚀刻速率。对该阶梯和边缘环采用不同的材料,可以进一步调节边缘区域内通过等离子的耦合度。此外,还可以对阶梯和边缘环的各种几何特征图形进行修改以产生要求的蚀刻速率分布。在优选实施例中,以这样的方式将该阶梯定位在电极外围的内部,即,电极延伸到该阶梯之外。在另一个优选实施例中,电极的中心部分和/或电极延伸到该阶梯之外的部分基本上是平的。
本发明还涉及一种利用等离子处理半导体衬底的方法,该方法包括步骤:在衬底与相对电极之间产生等离子,该相对电极具有至少包括一个阶梯的外围部分。该阶梯可以在局部提高靠近电极外围部分的等离子密度,从而控制圆片的边缘蚀刻速率。
根据本发明的阶梯式电极有几个优点。第一,在等离子处理过程,它可以对靠近诸如硅圆片的衬底边缘的等离子密度进行局部提高或加强。阶梯本身或者与支持衬底的下部电极上的边缘环配合,可以优化阶梯的形状、尺寸或位置,从而对各种不同的处理情况提供均匀蚀刻。此外,还可以优化蚀刻均匀性,而不对其它蚀刻特性例如圆片中心的蚀刻速率产生显著影响。阶梯式电极还适于许多不同的电极材料和处理气体。
在本发明中,通过改变通过等离子耦合的局部功率,控制靠近圆片边缘的蚀刻速率。即,通过在上部电极上设置阶梯或凸起,通过靠近圆片边缘区域内的等离子耦合更多的电流。上部电极上的阶梯还使等离子层与该阶梯的轮廓一致,这样有助于在增加边缘区域内离子能量时,保持更均匀等离子密度。
簇射喷头电极是根据本发明可以使用的优选阶梯式电极。簇射喷头电极最好包括:多个出气孔,在电极的相对正面与背面之间延伸。出气孔可以位于正面中心部分,用于在靠近电极正面的区域内释放处理气体。簇射喷头电极正面的外围部分包围正面的中心部分。阶梯位于电极的外围部分,并至少部分围绕,最好完全围绕电极的中心部分延伸。该阶梯控制在电极外露表面附近产生的等离子的局部密度。包含阶梯式簇射喷头电极的优选等离子反应室是一种半导体蚀刻设备。
顶部电极可以由诸如石墨、硅、碳化硅以及铝的任意适当材料制成。阶梯可以是单个一件阶梯式电极的整体构成部分(例如:以单片材料加工或成型的),也可以制造成分离部件并将它接合(例如:金属加工方法或接合方法)或者固定到电极表面上。可以利用与电极相同的材料,也可以利用不同的材料制造该阶梯。与电极相同,也利用诸如石墨、硅、碳化硅或铝制造阶梯衬垫。然而,还利用利用诸如石英的介质材料制造该阶梯。
在本发明的另一个优选实施例中,上部电极上的阶梯具有与电极的中心部分相邻的内表面、大致平行于电极上大致为平面的中心部分的下表面以及对着内表面的外表面。阶梯的内表面最好倾斜,以对电极上的大致为平面的中心部分成钝角。例如,该阶梯可以具有梯形横截面。在另一个优选实施例中,阶梯的内表面可以对顶部电极上的大致为平面的中心部分成120度至160度角,而阶梯的外表面对平行于电极上大致为平面的中心部分的平面成80度至90度角。
在本发明的另一个优选实施例中,在等离子室内处理半导体衬底的方法包括步骤:将衬底安装到下部电极上,将气体充入等离子室,在上部电极的外露表面附近产生等离子以及利用等离子处理衬底。电极具有中心部分和外围部分,外围部分包括从其外露表面伸出的阶梯并至少部分围绕中心部分延伸。可以使阶梯的几何形状有助于使在电极外露表面附近产生的等离子具有预定局部密度。在另一个优选实施例中,半导体衬底包括半导体圆片,而处理步骤包括利用等离子蚀刻半导体圆片。簇射喷头电极最好电接地,而且在处理步骤中对底部电极供电。
在又一个优选实施例中,在簇射喷头电极与底部电极之间的间隙内产生等离子,而且该方法进一步包括步骤:将一个半导体衬底转移到等离子室之外,然后又将另一个半导体衬底转移到等离子室内并位于底部电极上。
在本发明另一个优选实施例中,用于制造半导体器件的等离子室包括顶部电极和底部电极,它们的各表面互相相对,而且互相分离以确定间隙。底部电极包括衬底支撑。顶部电极包括中心部分和位于顶部电极的外围部分、并至少部分围绕顶部电极的中心部分延伸的阶梯。阶梯对在顶部电极的外露表面附近产生的等离子的局部密度进行控制。
在等离子室的另一个优选实施例中,顶部电极接地,而在处理过程中,对底部电极供电。然而,可以对两个电极供电,也可以使底部电极接地,而对顶部电极供电。
在另一个优选实施例中,底部电极进一步包括围绕静电吸盘(ESC)的保护边缘环。边缘环是可换式部件,在处理衬底的过程中,它趋向变热,因此将它称为热边缘环(HER)。边缘环可以由诸如SiC的导电电极材料制成,或者由诸如石英的介质材料制成。通过改变边缘环的材料,可以使通过等离子的耦合程度适于在被处理衬底的外部部分提供要求的局部“边缘”蚀刻速率。电容阻抗低的SiC产生的蚀刻速率通常比硅产生的蚀刻速率高。石英和其它介质对边缘蚀刻速率的影响较小。
以下说明的几个附图示出采用阶梯式上部电极配置和采用传统平板式上部电极配置的蚀刻速率均匀性曲线图。
图1A示出根据本发明的平行板等离子设备的剖视图。上部电极10在其外围部分具有阶梯(step)11。该阶梯具有内径(ID)和外径(OD)。衬底或圆片15安装在衬底支撑(support)19上,衬底支撑19内插入了静电吸盘(chunk)16。衬底支撑还包括边缘环17和耦合环18。阶梯11包括:朝着圆片15倾斜的内表面;下表面,平行于圆片15;以及外表面,垂直于圆片15,该外表面位于电极10外围的内部。
图1B示出根据本发明又一个实施例的平行板等离子设备的圆片边缘区域的细节。上部电极(upper electrode)10是具有整体阶梯11的单片单电极。阶梯11具有厚度(t)和内表面12,内表面12与上部电极的中心部分之间形成夹角(α)。该阶梯还具有下表面13和外表面14,外表面14与平行于电极上的大致为平面的中心部分的平面成夹角(γ)。如图1A所示的实施例,阶梯11位于边缘环17的对面。
图1C示出根据本发明另一个实施例的平行板等离子设备的圆片边缘区域的细节。上部电极10包括由单独一件材料成型的阶梯30。所示的凹槽32延伸到上部电极的外露表面内。分离阶梯30安装到凹槽32内,并从电极表面伸出。利用通过上部电极上的开口33与阶梯30相连的致动器31可以调节阶梯30相对于电极外露表面的厚度。因此,例如可以利用处理室外部控制的机构将致动器31的位置和阶梯厚度调节到适当值。例如,在处理不同衬底时和/或在采用不同化学性质的处理气体时,这种配置可以调节阶梯的有效厚度,而无需更换该阶梯或整个上部电极。此外,利用这种配置,可以在多步等离子处理过程(例如:双波纹处理过程)的各步骤之间改变该阶梯的厚度,而无需打开等离子室。
图2A示出其中阶梯延伸到电极外部边缘的根据本发明实施例的平行板等离子设备的圆片边缘区域的细节。利用静电吸盘23将圆片22形式的半导体衬底安装在衬底支撑26上。在所示的实施例中,圆片的直径为8英寸(200mm)。然而,显然,本发明还可以应用于其它尺寸的圆片或衬底。对于8英寸圆片,可以以这样的方式将阶梯21的内径(ID)设置为8.6英寸,即阶梯21超出圆片22或在外部的部分接近0.3英寸。阶梯的外径与电极(10.35英寸)的外径相同,而且阶梯接触固定护板(guard)27。固定护板与活动密封圈28一起将等离子会聚到圆片表面上。阶梯21具有与电极外露表面成150度夹角(α)的倾斜面,而且该阶梯的厚度为0.125英寸。石英耦合环25和硅热边缘环24围绕衬底支撑26。边缘环的内表面被斜切以与垂直于圆片表面的平面成夹角β。上部电极20由硅构成,而所示的阶梯21是被安装(利用任意适当技术,例如焊接或机械连接方法)到上部电极上的分离环。
以下讨论的曲线图中的数据是利用双频平行板等离子蚀刻反应器产生的。在所有情况下,仅将功率(双频)送到下部电极,而上部电极接地,各电极之间的间隙约为1.3cm。
图2B是与利用传统平板式上部电极进行掩蔽(blanket)氧化层蚀刻(●)相比,利用图2A所示配置进行掩蔽氧化层蚀刻(■)的蚀刻速率分布的曲线图。在这两种情况下,均使用硅热边缘环和石英耦合环,而且在下列条件下进行蚀刻:27MHz的1500瓦射频(RF)功率;2MHz的1100瓦射频功率;圆片上的52mTorr(毫托)室压力;135sccm氩气;15sccm C4F8气;10sccm O2(氧)气;底部电极为-10℃温度;以及60秒的处理时间。
该曲线图示出作为从圆片中心测量的圆片表面上的位置函数的蚀刻速率(埃/分钟)。从该曲线图中可以看出,阶梯明显改善了靠近圆片边缘的蚀刻速率均匀性。每条曲线边上的数字是平均蚀刻速率和蚀刻速率均匀性。利用下式计算蚀刻速率均匀性:
[(Max-Min)/2*平均值]*100%
其中Max是蚀刻速率的最大值,而Min是蚀刻速率的最小值。通过利用Rudolph Technologies市售的椭圆计,测量蚀刻前、后圆片的厚度,确定蚀刻速率。靠近圆片边缘的0.5mm区域不在厚度测量范围之内。然后,在邻接边缘测量排除区域的12mm区域内每0.5mm进行一次测量。在圆片中心区域减少测量次数。
图2C是示出阶梯对BPSG内的接点(contact)蚀刻深度的影响的曲线图。接点具有0.2微米的蚀刻宽度和接近9∶1的宽高比。采用如下处理条件:27MHz的1500瓦射频功率;2MHz的1100瓦射频功率;圆片上的52mTorr处理压力;135sccm氩气;15sccm C4F8气;8sccmO2气;底部电极为-10℃温度;以及140秒的处理时间。
上部曲线(■)示出采用图2A所示电极配置的蚀刻深度。下部曲线(●)示出采用传统平板式上部电极配置的蚀刻深度。从圆片边缘开始测量位置。从图2C中可以看出,通过提高靠近圆片周边的蚀刻速率,上部电极上的阶梯提高了接点蚀刻深度的均匀性。每条曲线边上的数字是平均蚀刻深度和蚀刻深度均匀性。利用SEM确定BPSG内的接点蚀刻深度。
图2D是示出在上述说明图2B时说明的条件下,改变用于进行掩蔽氧化层蚀刻的边缘环的倾角(β)对蚀刻速率分布产生的影响的曲线图。上部曲线(■)示出具有60°倾角的SiC热边缘环的蚀刻速率。中间曲线(●)示出具有15°倾角的Si热边缘环的蚀刻速率。在上部曲线和中间曲线中,均采用8.6”ID阶梯式电极。下部曲线(▲)示出采用平板式电极和具有15°倾角的Si热边缘环时的蚀刻速率。如图所示,边缘环的倾角从15°升高到60°引起蚀刻速率提高。具有60°倾角的SiC热边缘环的蚀刻速率分布是“边缘快速”分布,在边缘观察,其蚀刻速率比圆片中心位置的蚀刻速率高。可以相信,边缘环的倾角会对等离子层产生扰动,这提高了蚀刻速率。如果热边缘环材料采用SiC而不采用Si,则还对靠近圆片边缘的蚀刻速率具有提高作用。
图3A是示出与采用传统平板式Si上部电极(●)相比,在掩蔽热氧化层蚀刻过程中采用整体(一件)Si阶梯式上部电极(■)的蚀刻速率分布的曲线图。上部电极上的阶梯为0.125英寸厚,而且具有135度的倾角(α)。利用与上述参考图2B说明的同样处理条件进行此蚀刻过程。采用Si热边缘环和石英耦合环。用曲线示出作为从圆片的中心开始测量的圆片表面位置的函数的蚀刻速率(埃/分钟)。从该图中可以看出,阶梯可以显著改善靠近圆片边缘的蚀刻速率的均匀性。每条曲线边上的数字是平均蚀刻速率和蚀刻速率均匀性。
图3B是示出采用与上述相同的整体Si阶梯式上部电极时阶梯对BPSG内的接点蚀刻宽度的影响的曲线图。接点具有0.2微米的蚀刻宽度和接近9∶1的宽高比。采用上述说明图2C时的处理条件。上部曲线(■)示出在采用阶梯式电极时作为位置函数的蚀刻深度。下部曲线(●)示出在采用传统平板式Si上部电极时作为从圆片边缘开始测量的位置的函数的蚀刻深度。从该图中可以看出,上部电极上的整体阶梯提高特征图形蚀刻深度的均匀性。每条曲线边上的数字是平均蚀刻深度和蚀刻深度均匀性。利用SEM确定蚀刻深度。
图4A示出包括具有窄(小OD)Si阶梯41的上部电极40的电极配置。阶梯为0.125英寸厚,而且其内径为8.25英寸。所示8英寸圆片42安装在静电吸盘45上。下部电极46还包括石英耦合环44和边缘环43。边缘环43具有60°倾角(β)。因为阶梯的外径小,所以在阶梯48的外表面与固定护板47之间存在间隙。还示出了密封圈49。在对图2B说明的上述处理条件下,在这种配置中采用Si热边缘环获得稳定等离子。
图4B是示出采用图4A所示配置时阶梯内径对蚀刻速率分布的影响的曲线图。在对图2B说明的上述处理条件下,蚀刻掩蔽热氧化层圆片。然而,在两种情况下,边缘环材料为SiC,而不是Si。8.0英寸ID阶梯(●)和8.25英寸ID阶梯(■)均产生边缘快速分布。然而,8.0英寸ID阶梯产生总体较低的蚀刻速率。
图4C是示出将边缘环的材料由SiC变更为石英的影响的曲线图。在对图2B说明的上述处理条件下,蚀刻掩蔽热氧化层圆片。采用图4A所示的电极配置。下部曲线(■)示出采用石英热边缘环时的蚀刻速率分布。上部曲线(●)示出采用SiC热边缘环时的蚀刻速率。介质石英边缘环在圆片边缘产生耦合,从而在圆片边缘附近显著较低蚀刻速率。曲线图所示的边缘与边缘的非均匀性是因为放置误差或阶梯与上部电极的不均匀接触导致的。
图5是示出在对图2B说明的上述处理条件下阶梯ID对掩蔽热氧化层蚀刻过程的蚀刻速率分布的影响的曲线图。在所有情况下,采用标准15度倾斜的Si边缘环和石英耦合环。阶梯由Si构成,而且其厚度为0.1英寸。利用上部电极上的8.25英寸内径的阶梯(■),获得边缘快速分布。利用8.6英寸内径的阶梯(▲),获得大致平坦的蚀刻速率分布。利用9.0英寸内径的阶梯(●),阶梯的影响显著降低,而且边缘蚀刻速率迅速下降。为了进行比较,还示出了采用传统平板式Si上部电极(
Figure C0181726200171
)的蚀刻速率。
图6A-6D是示出蚀刻到掩蔽热氧化层圆片内的特征图形(feature)的显微照片。图6A和6B中的特征图形是利用包括平板式上部电极的电极配置蚀刻的。图6A示出在圆片边缘附近蚀刻的特征图形,而图6B示出在圆片中心附近蚀刻的特征图形。从图6A和6B中可以看出,所蚀刻的边缘特征图形的深度不如中心特征图形深,因为圆片边缘附近的蚀刻速率低。图6C和6D中的特征图形是采用具有厚度为0.1英寸、内径为8.6英寸的阶梯的上部电极蚀刻的。图6C示出利用阶梯式电极在圆片边缘附近蚀刻的特征图形,而图6D示出利用阶梯式电极在圆片中心附近蚀刻的特征图形。从图6C和6D中可以看出,边缘特征图形和中心特征图形几乎被蚀刻为同样的深度。
图7是示出对于各种阶梯几何图形失落压力(dropout pressure)与RF功率比之间的关系曲线图。失落压力是在该压力之下不能保持等离子的压力。RF功率比是以27MHz频率对下部电极施加的功率值与以2MHz频率施加的功率值之比。利用在表I中列出的上部电极几何图形进行测试。
表I
  图例   电极类型   阶梯内径(英寸)   阶梯外径(英寸)   阶梯厚度(英寸)
  ▲   分离阶梯   8.6   10.35   .1
  ◆   整体阶梯   8.7   10.35   .125
  ■   整体阶梯   8.8   10.35   .125
  ●   分离阶梯   8.6   9   .1
× 平板式电极 N/A N/A N/A
  ●   整体阶梯   8.8   9.5   .125
从图7所示的曲线图中可以看出,与大外径(10.35英寸)阶梯式上部电极相比,外径较小(9和9.5英寸)的阶梯式上部电极可以以较低压力保持等离子。上部电极之上的小OD阶梯外部的间隙对等离子提供了附加容积。可以相信,此附加容积使得可以以较低压力保持等离子。
表Il示出利用根据本发明的阶梯式上部电极可以实现的对蚀刻速率均匀性的改善。测试了3种不同类型的圆片:掩蔽热氧化层圆片、图形热氧化层圆片以及BPSG接点圆片。利用椭圆计沿圆片直径(边到边)测量掩蔽热氧化层圆片。利用SEM沿半径(中心到边缘)测量BPSG接点深度。利用轮廓仪沿边到边测量图形热氧化层圆片。阶梯式电极是具有厚度为0.1英寸、倾角(α)为150度的阶梯的Si电极。
表II
Figure C0181726200181
蚀刻速率均匀性的百分比是利用下式计算的:
[(Max-Min)/2*平均值]*100%
从表II可以看出,使用阶梯式电极可以显著改善蚀刻速率均匀性。
最好阶梯的内表面和外表面被配置为在电极与阶梯的上部之间实现逐渐过渡。在优选实施例中,阶梯具有梯形截面,其内表面和外表面与电极上的大致为平面的中心部分形成90度或更大夹角。然而,阶梯还可以具有其它截面形状。阶梯的内表面和外表面不必是平面,还可以是凹面或凸面。该阶梯还可以具有非平面上表面。例如,该阶梯可以是三角形截面或半球形截面,也可以采用包括复杂弯曲的某种其它结构。
如上所述,可以对阶梯的内径或其相对于圆片边缘的位置进行调节以实现要求的蚀刻速率分布。阶梯的内径可以小于、等于或者大于圆片的直径。可以结合其它处理参数调节阶梯的位置以实现要求的蚀刻速率分布。用于确定蚀刻速率分布的其它参数包括:阶梯的几何图形(例如:阶梯厚度以及阶梯内表面的角度或弯曲度)和阶梯的材料。边缘环的几何特征图形和利用其制造边缘环的材料也对蚀刻速率分布产生影响。即,通过改变阶梯和边缘环的介电常数,可以在圆片边缘区域内改变与底部电极的耦合。例如,如果边缘环是诸如石英的介质材料,则与边缘环相比,功率可以更多地集中在圆片上。然而,如果利用诸如硅或碳化硅的导电材料作为边缘环,则通过边缘环和圆片耦合的功率更高。
平行板等离子设备内的各电极之间的距离对阶梯区域内的蚀刻速率分布具有显著影响。通常,随着间隙宽度的增大,上部电极上的阶梯对边缘蚀刻速率的控制作用就越小。然而,如果使用具有非常小间隙宽度的阶梯式电极,则会导致边缘区域内的等离子不稳定。部分地根据处理过程中采用的气体压力选择正确的间隙宽度。在高气体压力(>75mTorr至1Torr)下,间隙宽度可以在例如1.0至1.5cm范围内。在低气体压力下(10至75mTorr),1.3至2.0cm的间隙宽度可能更适当。还可以结合对电极施加的功率的频率,调节间隙宽度。已经发现,低频对大间隙宽度有效,而高频用于小间隙宽度。
尽管附图所示的阶梯完全围绕电极正面的中心部分延伸,但是也可以包括一个或者多个仅部分围绕电极周边的电极。
适当处理气体取决于被蚀刻的材料。对于诸如氧化硅的介质材料,处理气体可以包括Ar、O2以及诸如C4F8、C3F6和CHF3的碳氟化合物。然而,这些材料是示例性的。此外,还可以在蚀刻过程中改变气体。
尽管将电极称为或者在附图中表示为“顶部”电极或“上部”电极,但是可以以这样的方式构造该设备,即,如图所示,被蚀刻衬底的被处理侧保持面朝下,而非面朝上。在本技术领域内公知利用夹紧装置可以实现这种取向。此外,利用本发明还可以处理圆形圆片之外的其它形状的衬底。然而,上部电极上的阶梯通常与被处理对象的形状一致。
尽管结合本发明的优选实施例对本发明进行了说明,但是本技术领域内的技术人员明白,在所附权利要求所确定的本发明的精神和范围内,可以对其进行上述未具体说明的附加、删除、修改和替换。

Claims (20)

1.一种用于等离子反应室的簇射喷头电极,所述电极包括:
中心部分;
多个出气孔,位于电极的中心部分,处理气体可通过该出气孔从电极外露表面送出,中心部分大致为平面;以及
阶梯,从电极外露表面凸出并且是一个单个阶梯式电极的整体构成部分,该阶梯位于电极的外围部分,而且至少部分围绕电极的中心部分延伸,该阶梯的内表面与大致为平面的中心部分形成钝角,该阶梯控制在电极外露表面附近产生的等离子的局部密度。
2.根据权利要求1所述的电极,其中内表面与电极中心部分邻接,该阶梯具有大致平行于电极上大致为平面的表面的下表面以及与内表面相对的外表面。
3.根据权利要求1所述的电极,其中该阶梯以这样的方式位于电极外围的内部,即部分电极伸出到阶梯之外。
4.根据权利要求3所述的电极,其中阶梯的外表面与平行于电极上大致为平面的中心部分的平面成90度或更大的夹角。
5.根据权利要求1所述的电极,其中阶梯完全围绕电极的中心部分。
6.根据权利要求1所述的电极,其中电极由从包括硅、碳化硅、石墨和铝的组中选择的材料构成。
7.一种在等离子室内处理半导体衬底的方法,所述方法包括步骤:
将半导体衬底支持在底部电极上;
将处理气体送入等离子室内;
在根据权利要求1所述的簇射喷头电极外露表面的附近产生等离子;以及
利用等离子处理半导体衬底。
8.根据权利要求7所述的方法,其中阶梯以这样的方式位于电极外围的内部,即部分电极伸出到阶梯之外。
9.根据权利要求7所述的方法,其中半导体衬底包括半导体圆片,而利用等离子处理半导体衬底的步骤包括利用等离子蚀刻半导体圆片。
10.根据权利要求7所述的方法,其中簇射喷头电极接地,而在利用等离子处理半导体衬底的步骤期间对底部电极供电。
11.根据权利要求7所述的方法,其中等离子室进一步包括安装在底部电极上的边缘环,该边缘环包括位于安装在底部电极上的半导体衬底的外围之下的凹槽部分,至少部分边缘环对着阶梯,并与阶梯一起提供预定等离子局部密度。
12.一种用于制造半导体器件的等离子室,所述等离子室包括根据权利要求1所述的簇射喷头电极和底部电极,所述簇射喷头电极和底部电极具有互相相对又互相分离以在其间确定间隙的各表面,底部电极包括衬底支撑。
13.根据权利要求12所述的等离子室,该等离子室进一步包括安装在底部电极上的边缘环,该边缘环包括位于安装在底部电极上的半导体衬底的外围之下的凹槽部分。
14.根据权利要求12所述的等离子室,其中所述阶梯的内表面与簇射喷头电极中心部分相邻,该阶梯包括大致平行于簇射喷头电极上大致为平面的中心部分的下表面以及对着内表面的外表面。
15.根据权利要求14所述的等离子室,其中阶梯的外表面与平行于大致为平面的中心部分的平面成90度或更大的夹角。
16.根据权利要求13所述的等离子室,其中等离子室是半导体蚀刻设备。
17.根据权利要求13所述的等离子室,其中簇射喷头电极由从包括硅、碳化硅、石墨和铝的组中选择的材料构成,而边缘环由从包括石英、硅、碳化硅、石墨和铝的组中选择的材料构成。
18.根据权利要求13所述的等离子室,其中边缘环包括邻接凹槽部分的倾斜面。
19.根据权利要求18所述的等离子室,其中倾斜面与垂直于支持衬底的底部电极表面的平面成5度至60度夹角。
20.根据权利要求13所述的等离子室,其中边缘环由从包括石英、硅、碳化硅、石墨和铝的组中选择的材料构成。
CNB018172628A 2000-10-13 2001-10-10 用于实现均匀等离子处理的阶梯式上部电极 Expired - Lifetime CN100437930C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/689,845 US6391787B1 (en) 2000-10-13 2000-10-13 Stepped upper electrode for plasma processing uniformity
US09/689,845 2000-10-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN200810125651A Division CN100589228C (zh) 2000-10-13 2001-10-10 用于实现均匀等离子处理的阶梯式上部电极

Publications (2)

Publication Number Publication Date
CN1723528A CN1723528A (zh) 2006-01-18
CN100437930C true CN100437930C (zh) 2008-11-26

Family

ID=24770099

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200810125651A Expired - Lifetime CN100589228C (zh) 2000-10-13 2001-10-10 用于实现均匀等离子处理的阶梯式上部电极
CNB018172628A Expired - Lifetime CN100437930C (zh) 2000-10-13 2001-10-10 用于实现均匀等离子处理的阶梯式上部电极

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200810125651A Expired - Lifetime CN100589228C (zh) 2000-10-13 2001-10-10 用于实现均匀等离子处理的阶梯式上部电极

Country Status (10)

Country Link
US (2) US6391787B1 (zh)
EP (1) EP1336191B1 (zh)
JP (1) JP4180913B2 (zh)
KR (3) KR101028385B1 (zh)
CN (2) CN100589228C (zh)
AT (1) ATE453206T1 (zh)
AU (1) AU2002211886A1 (zh)
DE (1) DE60140893D1 (zh)
TW (1) TW516123B (zh)
WO (1) WO2002031859A2 (zh)

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
KR100397891B1 (ko) * 2001-07-25 2003-09-19 삼성전자주식회사 반도체 장치 식각설비의 척 조립체
WO2003025982A1 (en) * 2001-09-17 2003-03-27 Advion Biosciences, Inc. Uniform patterning for deep reactive ion etching
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
AU2002366921A1 (en) * 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
WO2003100817A1 (en) * 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7850174B2 (en) * 2003-01-07 2010-12-14 Tokyo Electron Limited Plasma processing apparatus and focus ring
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
KR101141488B1 (ko) * 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
JP4563729B2 (ja) * 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
TWI488236B (zh) * 2003-09-05 2015-06-11 Tokyo Electron Ltd Focusing ring and plasma processing device
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US20050130620A1 (en) * 2003-12-16 2005-06-16 Andreas Fischer Segmented radio frequency electrode apparatus and method for uniformity control
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP2005217240A (ja) * 2004-01-30 2005-08-11 Matsushita Electric Ind Co Ltd ドライエッチング装置およびドライエッチング方法
US20050193951A1 (en) * 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
JP4647228B2 (ja) * 2004-04-01 2011-03-09 株式会社ディスコ ウェーハの加工方法
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US7601246B2 (en) * 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7563633B2 (en) * 2006-08-25 2009-07-21 Robert Bosch Gmbh Microelectromechanical systems encapsulation process
US20080066868A1 (en) * 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
KR100769522B1 (ko) * 2006-10-25 2007-11-06 주식회사 유진테크 화학기상증착장치의 샤워헤드
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US20080296261A1 (en) * 2007-06-01 2008-12-04 Nordson Corporation Apparatus and methods for improving treatment uniformity in a plasma process
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
WO2009009607A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Apparatus and method for processing a substrate edge region
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
WO2010021890A2 (en) * 2008-08-19 2010-02-25 Lam Research Corporation Edge rings for electrostatic chucks
US8382941B2 (en) 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
US8454027B2 (en) * 2008-09-26 2013-06-04 Lam Research Corporation Adjustable thermal contact between an electrostatic chuck and a hot edge ring by clocking a coupling ring
US9493875B2 (en) 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
KR101624123B1 (ko) * 2008-10-31 2016-05-25 램 리써치 코포레이션 플라즈마 프로세싱 챔버의 하부 전극 어셈블리
CN101740298B (zh) 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8253058B2 (en) * 2009-03-19 2012-08-28 Integrated Photovoltaics, Incorporated Hybrid nozzle for plasma spraying silicon
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8618807B2 (en) 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
CN102804929B (zh) * 2009-06-30 2015-11-25 朗姆研究公司 用于处理室的预测性预防性维护的方法和装置
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8538572B2 (en) 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8983631B2 (en) 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
US8357263B2 (en) * 2010-10-05 2013-01-22 Skyworks Solutions, Inc. Apparatus and methods for electrical measurements in a plasma etcher
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
JP5912637B2 (ja) * 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
EP2654070A1 (fr) 2012-04-16 2013-10-23 INDEOtec SA Réacteur plasma de type capacitif pour le dépôt de films minces
US9252002B2 (en) 2012-07-17 2016-02-02 Applied Materials, Inc. Two piece shutter disk assembly for a substrate process chamber
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9393666B2 (en) 2013-12-20 2016-07-19 Lam Research Corporation Adapter plate for polishing and cleaning electrodes
JP2015162558A (ja) 2014-02-27 2015-09-07 東京エレクトロン株式会社 プラズマ処理装置及び被処理体を処理する方法
KR101640488B1 (ko) * 2014-09-16 2016-07-25 주식회사 월덱스 플라즈마 에칭장치용 일렉트로드의 결합구조 및 결합방법
US10115573B2 (en) * 2014-10-14 2018-10-30 Applied Materials, Inc. Apparatus for high compressive stress film deposition to improve kit life
CN105185732A (zh) * 2015-08-24 2015-12-23 沈阳拓荆科技有限公司 一种可改变晶圆表面薄膜形貌的陶瓷环
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
KR20180099776A (ko) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
CN109156074B (zh) 2016-03-03 2021-12-28 核心技术株式会社 等离子体处理装置及等离子处理用反应容器的结构
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
CN109961999B (zh) * 2017-12-22 2021-03-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头及防止聚合物积聚的方法
US20190244793A1 (en) * 2018-02-05 2019-08-08 Lam Research Corporation Tapered upper electrode for uniformity control in plasma processing
CN111902922B (zh) 2018-04-18 2024-04-19 应用材料公司 具有自定心特征的两件式快门盘组件
KR102500219B1 (ko) 2018-05-12 2023-02-14 어플라이드 머티어리얼스, 인코포레이티드 통합된 셔터 개라지를 갖는 사전-세정 챔버
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR20200131432A (ko) 2019-05-14 2020-11-24 삼성전자주식회사 샤워 헤드 어셈블리 및 이를 갖는 플라즈마 처리 장치
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JP7489896B2 (ja) 2020-10-22 2024-05-24 東京エレクトロン株式会社 プラズマ処理装置
KR102580583B1 (ko) * 2021-08-10 2023-09-21 피에스케이 주식회사 기판 처리 장치
KR102617128B1 (ko) * 2021-09-14 2023-12-27 주식회사 케이씨파츠텍 조립형 프로파일 상부 전극 및 이를 포함하는 플라즈마 처리 장치
WO2023043091A1 (ko) * 2021-09-14 2023-03-23 주식회사 티이엠 조립형 프로파일 상부 전극 및 이를 포함하는 플라즈마 처리 장치
WO2023154115A1 (en) * 2022-02-09 2023-08-17 Lam Research Corporation Etch uniformity improvement in radical etch using confinement ring

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4767641A (en) * 1986-03-04 1988-08-30 Leybold-Heraeus Gmbh Plasma treatment apparatus
US5298103A (en) * 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
EP0693769A2 (en) * 1994-07-18 1996-01-24 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, reduced chamber diameter and reduced RF wafer pedestal diameter
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US6074518A (en) * 1994-04-20 2000-06-13 Tokyo Electron Limited Plasma processing apparatus
WO2001050498A1 (en) * 1999-12-30 2001-07-12 Lam Research Corporation Linear drive system for use in a plasma processing system

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4792379A (en) * 1984-04-18 1988-12-20 Long Mile Rubber Company Apparatus for recapping a tire with a flexible segmented mold
US4610774A (en) * 1984-11-14 1986-09-09 Hitachi, Ltd. Target for sputtering
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH0529275A (ja) * 1991-07-23 1993-02-05 Kokusai Electric Co Ltd プラズマエツチング方法及び装置
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5472565A (en) * 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5628869A (en) * 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US6010636A (en) * 1995-12-29 2000-01-04 Lam Research Corporation Electrode with domes for plasma focusing
JPH09306896A (ja) * 1996-03-15 1997-11-28 Sumitomo Metal Ind Ltd プラズマ処理装置およびプラズマ処理方法
JPH1064831A (ja) 1996-08-20 1998-03-06 Fujitsu Ltd 気相成長装置
KR100252210B1 (ko) * 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4767641A (en) * 1986-03-04 1988-08-30 Leybold-Heraeus Gmbh Plasma treatment apparatus
US5298103A (en) * 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US6074518A (en) * 1994-04-20 2000-06-13 Tokyo Electron Limited Plasma processing apparatus
EP0693769A2 (en) * 1994-07-18 1996-01-24 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, reduced chamber diameter and reduced RF wafer pedestal diameter
WO2001050498A1 (en) * 1999-12-30 2001-07-12 Lam Research Corporation Linear drive system for use in a plasma processing system

Also Published As

Publication number Publication date
AU2002211886A1 (en) 2002-04-22
WO2002031859A9 (en) 2003-05-22
JP4180913B2 (ja) 2008-11-12
CN100589228C (zh) 2010-02-10
US20020187647A1 (en) 2002-12-12
EP1336191B1 (en) 2009-12-23
KR20080034047A (ko) 2008-04-17
EP1336191A2 (en) 2003-08-20
KR101118003B1 (ko) 2012-02-24
DE60140893D1 (de) 2010-02-04
TW516123B (en) 2003-01-01
KR101028385B1 (ko) 2011-04-13
KR100831193B1 (ko) 2008-05-21
US6824627B2 (en) 2004-11-30
ATE453206T1 (de) 2010-01-15
CN101308779A (zh) 2008-11-19
WO2002031859A3 (en) 2002-09-12
KR20100124350A (ko) 2010-11-26
CN1723528A (zh) 2006-01-18
KR20040005836A (ko) 2004-01-16
US6391787B1 (en) 2002-05-21
WO2002031859A2 (en) 2002-04-18
JP2004511906A (ja) 2004-04-15

Similar Documents

Publication Publication Date Title
CN100437930C (zh) 用于实现均匀等离子处理的阶梯式上部电极
KR102378582B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
CN100474521C (zh) 温控热边缘环组件,包含该组件的装置及其用途
US7939778B2 (en) Plasma processing chamber with guard ring for upper electrode assembly
US6415736B1 (en) Gas distribution apparatus for semiconductor processing
KR100984422B1 (ko) 포토마스크 플라즈마 에칭 방법 및 장치
JP3166974U (ja) プラズマエッチングチャンバ用エッジリング組立体
JP5238114B2 (ja) 電極アッセンブリ
US20060175015A1 (en) Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US4578559A (en) Plasma etching method
CN113039626B (zh) 边缘环的温度及偏压控制
JPH10242118A (ja) 半導体装置の製造装置
CN118335584A (zh) 边缘环的温度及偏压控制

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20081126

CX01 Expiry of patent term