KR100984422B1 - 포토마스크 플라즈마 에칭 방법 및 장치 - Google Patents

포토마스크 플라즈마 에칭 방법 및 장치 Download PDF

Info

Publication number
KR100984422B1
KR100984422B1 KR1020070086475A KR20070086475A KR100984422B1 KR 100984422 B1 KR100984422 B1 KR 100984422B1 KR 1020070086475 A KR1020070086475 A KR 1020070086475A KR 20070086475 A KR20070086475 A KR 20070086475A KR 100984422 B1 KR100984422 B1 KR 100984422B1
Authority
KR
South Korea
Prior art keywords
shield
plate
chamber
photomask
plasma
Prior art date
Application number
KR1020070086475A
Other languages
English (en)
Other versions
KR20080039204A (ko
Inventor
아제이 쿠마
마드하비 알. 찬드라츄드
리차드 레윙톤
다린 비벤스
아미타브흐 사브하월
시바 제이. 파나일
알란 히로시 오우예
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080039204A publication Critical patent/KR20080039204A/ko
Application granted granted Critical
Publication of KR100984422B1 publication Critical patent/KR100984422B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명은 포토마스크를 에칭하는 방법 및 장치를 제공한다. 일 실시예에서, 상기 장치는 포토마스크를 수용하도록 구성된 지지 페데스탈을 갖는 프로세스 챔버를 포함한다. 이온-중성 차폐물은 페데스탈 위에 배치되며 편향기 플레이트 어셈블리는 이온-중성 차폐물 위에 제공된다. 편향기 플레이트 어셈블리는 이온-중성 차폐물을 향해 가스를 생성하기 위한 가스 흐름 방향을 한정하며, 이온-중성 차폐물은 포토마스크를 에칭하기 위해 플라즈마에 이온 및 중성 종의 원하는 분포를 설정하는데 이용된다.

Description

포토마스크 플라즈마 에칭 방법 및 장치{METHOD AND APPARATUS FOR PHOTOMASK PLASMA ETCHING}
본 발명의 실시예들은 전반적으로 플라즈마 포토마스크 에칭을 위한 방법 및 장치에 관한 것으로, 보다 상세하게는 플라즈마 종 분포의 개선된 제어를 제공하는 방법 및 장치에 관한 것이다.
통상적으로 마이크로전자공학 또는 집적회로 장치들의 제조는 반도체, 유전체 및 도체 기판상에서 수행되는 수백 개의 개별적인 단계들을 요구하는 복잡한 프로세스 시퀀스를 수반한다. 이러한 프로세스 단계들의 일례로는 산화, 확산, 이온 주입, 박막 증착, 세정, 에칭 및 리소그래피를 포함한다. 리소그래피 및 에칭(패턴 전사 단계로도 불림)의 사용으로, 원하는 패턴이 예를 들어, 포토레지스트와 같은 광감성 물질층으로 먼저 전사된 다음 순차적 에칭 동안 하부에 놓인 물질층으로 전사된다. 리소그래피 단계에서, 블랭킷 포토레지스트층은 패턴 이미지가 포토레지스트에 형성되도록 패턴을 포함하는 레티클 또는 포토마스크를 통해 방사원에 노출된다. 적절한 화학적 용액에서 포토레지스트를 현상함으로써, 포토레지스트의 부분들이 제거되고 패터닝된 포토레지스트층이 형성된다. 마스크로 작용하는 이러한 포토레지스트 패턴으로, 하부에 놓인 물질층은 예를 들어, 습식 또는 건식 에칭을 이용하여 반응성 환경에 노출되어, 하부에 놓인 물질층으로 패턴이 전사된다.
유리 또는 석영 기판상에서 지지되는 금속-함유층에 형성되는, 포토마스크 상의 패턴은 포토레지스트 패턴의 에칭에 의해 생성된다. 그러나 이 경우, 포토레지스트 패턴은 레티클을 통해 포토레지스트를 노출시키는 것과는 대조적으로, 예를 들어, 전자 빔 또는 다른 적절한 방사 빔을 이용하는 직접 기록 기술에 의해 생성된다. 마스크로서 패터닝된 포토레지스트를 이용하여, 패턴은 플라즈마 에칭을 이용하여 하부에 놓인 금속-함유층으로 전사될 수 있다. 개선된 장치 제조의 사용에 적합한 상업적으로 이용가능한 포토마스크 에칭 장비로는 캘리포니아, 산타클라라의 어플라이드 머티리얼스사로부터 입수가능한 테트라TM 포토마스크 에칭 시스템이 있다. "마스크", "포토마스크" 또는 "레티클"이란 용어는 일반적으로 패턴을 포함하는 기판을 나타내는데 상호교환되어 사용된다.
계속 감소추세에 있는 장치 치수에 따라, 개선된 기술에 대한 포토마스크의 설계 및 제조는 점차 복잡해졌으며, 임계치수의 제어 및 프로세스 균일성이 보다 중요해졌다. 따라서, 포토마스크 제조에서 개선된 프로세스 모니터 및 제어에 대한 요구조건이 지속되고 있다.
본 발명은 전반적으로 포토마스크를 에칭하는 방법 및 장치를 제공한다. 일 실시예는, 프로세스 챔버, 프로세스 챔버의 기판 지지 페데스탈, 챔버 내에 플라즈마를 형성하는 RF 전력원, 페데스탈 위 및 챔버내의 플라즈마 형성 영역 아래로 챔버 내에 배치되며 플라즈마의 이온 및 중성 종의 분포를 제어하도록 구성된 차폐물, 챔버속으로 가스 흐름을 제공하는 적어도 하나의 가스 입구, 및 차폐물 위에 배치되며 가스 입구와 차폐물 사이에 예정된 가스 흐름 패턴을 제공하도록 구성된 편향기 플레이트 어셈블리를 포함하는 플라즈마 에칭 장치를 제공한다.
또한, 편향기 플레이트는 차폐물 없이 프로세싱 챔버에 이용될 수 있다. 또 다른 실시예는 예를 들어, 프로세스 챔버, 프로세스 챔버에 배치된 기판 지지 페데스탈, 챔버 내에 플라즈마를 형성하는 RF 전력원, 챔버 속으로 가스 흐름을 제공하는 적어도 하나의 가스 입구, 및 기판 지지 페데스탈 위 및 챔버의 플라즈마 형성 영역 내에 배치되며 가스 입구와 기판 지지 페데스탈 사이에 예정된 가스 흐름 패턴을 제공하도록 구성된 편향기 플레이트 어셈블리를 포함하는 플라즈마 에칭 장치를 제공한다.
또 다른 실시예는 기판 페데스탈 상에 포토마스크를 위치시키는 단계; 챔버 내부에서 기판 지지체 위로 차폐물을 제공하는 단계; 적어도 하나의 입구를 통해 프로세스 챔버 속으로 프로세스 가스를 주입하는 단계; 차폐물 위로 편향기 플레이트 어셈블리를 배치시킴으로써 가스 입구와 차폐물 사이에 예정된 가스 흐름 패턴을 제공하는 단계; 차폐물 위 영역에서 프로세스 가스로부터 플라즈마를 형성하는 단계; 및 차폐물을 통과하는 이온 및 중성 종으로 포토마스크를 에칭하는 단계를 포함하는 프로세스 챔버에서의 포토마스크 에칭 방법을 제공한다.
또 다른 실시예는 차폐물을 통과하는 이온 및 중성 종을 제어하기 위해 챔버 안쪽의 지지 페데스탈 위로 차폐물을 제공하는 단계; 적어도 하나의 입구를 통해 제 1 흐름 속도로 프로세스 챔버 속으로 프로세스 가스를 주입하는 단계; 가스 입구와 차폐물 사이에 예정된 가스 흐름 패턴을 제공하도록 구성된 편향기 플레이트 어셈블리를 차폐물 위로 제공하는 단계; 지지 페데스탈 상에 포토마스크를 배치하는 단계; 프로세스 가스로부터 플라즈마를 형성하는 단계; 제 1 흐름 속도로 제 1 포토마스크를 에칭하는 단계; 에칭된 제 1 기판을 기초로 에칭률 프로파일을 얻는 단계; 에칭률 프로파일을 기초로 적어도 하나의 입구를 통해 프로세스 가스를 제 2 흐름 속도로 조절하는 단계; 및 제 2 흐름 속도로 제 2 포토마스크를 에칭하는 단계를 포함하는, 프로세스 챔버에서 포토마스크를 에칭하는 방법을 제공한다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다. 그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다는 것을 주지해야 한다.
발명의 이해를 돕기 위해 도면에서 공통되는 동일한 부재들을 나타내는데 가능한 동일한 참조번호를 사용했다. 일 실시예의 부재들 및 특징들은 추가 언급없이도 다른 실시예에 바람직하게 적용될 수 있다.
그러나 첨부되는 도면은 단지 본 발명의 일례를 나타내는 것으로 본 발명의 범주를 제한하고자 하는 것은 아니며 다른 유효 실시예가 허용될 수 있다.
본 발명은 가스 흐름 패턴과 플라즈마 균일성의 개선된 제어를 제공함으로써 포토마스크 기판을 에칭하는 방법 및 장치를 제공한다. 상기 장치는 프로세싱 챔버에 제공된 가스 흐름의 방사상 및 수직 성분들을 제어하도록 구성된 편향기 플레이트 어셈블리를 포함한다. 편향기 플레이트 어셈블리는 기판 위에 배치된다. 일 실시예에서, 이온-라디칼 차폐물 또는 이온-중성 차폐물로도 불리는 차폐물이 편향기 플레이트 어셈블리와 기판 사이에 배치된다. 플라즈마는 프로세싱 동안 챔버내의 하전된 중성 종들의 분포를 제어하도록 구성된 차폐물 위로 챔버의 유사-리모트(quashi-remote) 상부 프로세싱 영역에 형성된다.
또 다른 실시예에서, 편향기 플레이트 어셈블리는 프로세싱 챔버에서 가스 흐름의 재방향설정을 위해 이용된다. 일 실시예의 편향기 플레이트 어셈블리는 구멍을 갖는 제 1 플레이트를 포함하며, 그의 위치 및 치수는 기판(또는 존재할 경우 차폐물)을 향하는 가스 흐름의 주요 방향의 한정을 보조한다. 또 다른 실시예에서, 편향기 플레이트 어셈블리는 제 1 플레이트 위에 배치된 제 2 플레이트를 더 포함한다. 제 2 플레이트는 실질적으로 제 1 플레이트의 구멍과 정렬된 하향 돌출 부분을 갖는다. 제 1 플레이트와 제 2 플레이트가 대략적으로 서로 평행한 측방 방향으로 흐르는 가스는 하향 돌출 부분에 의해 편향되며 제 1 플레이트의 구멍을 통해 재방향설정된다. 주요 가스 흐름 방향 또는 패턴을 설정하고 예정된 영역에 서 가스 흐름 속도를 증가시킴으로써, 편향기 플레이트 어셈블리는 예정된 위치에서의 강화된 에칭률을 유도할 수 있어, 결국 개선된 에칭 균일성이 달성된다.
플라즈마 에칭 챔버에 사용되는 이온-라디칼 차폐물의 일례는 쿠마르 등에 의해 2004년 6월 30일자로 출원된 "METHOD AND APPARATUS FOR PHOTOMASK PLASMA ETCHING"이란 명칭의 미국 특허 출원 S/N 10/880,754호 및 쿠마르 등에 의해 2006년 10월 30일자로 출원된 "METHOD AND APPARATUS FOR PHOTOMASK PLASMA ETCHING"이란 명칭의 미국 특허 출원 S/N 11/554,495호에 이미 공개되어 있다.
도 1은 이온-라디칼 차폐물(170)을 갖는 에칭 반응기(100)의 개략도를 나타낸다. 본 명세서에 개시된 내용을 사용하기에 적합한 반응기로는 예를 들어, 분리 플라즈마 소스(DPS
Figure 112007062520680-pat00001
)Ⅱ 반응기, 또는 테트라TMⅠ 및 테트라TMⅡ 포토마스크 에칭 시스템이 포함되며, 이들 모두는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수가능하다. 본 명세서에 도시된 반응기(100)의 특정 실시예는 설명을 위해 제공되는 것으로 본 발명의 범주를 제한하고자 하는 것은 아니다. 본 발명은 다른 제조자로부터의 챔버를 포함하는, 다른 플라즈마 프로세싱 챔버에서 이용될 수 있다.
일반적으로 반응기(100)는 전도성 바디(챔버 벽(104))내의 기판 지지 페데스탈(124)을 갖는 프로세스 챔버(102) 및 제어기(146)를 포함한다. 프로세스 챔버(102)는 실질적으로 평탄한 유전체 실링 또는 리드(108)를 갖는다. 프로세스 챔버(102)의 다른 변형으로 예를 들어 돔 형상 실링과 같은 다른 형태의 실링을 포함할 수 있다. 안테나(110)는 실링(108) 위에 배치되며 선택적으로 제어될 수 있는 하나 이상의 유도성 코일 부재(도 1에는 2개의 동축 부재(110a, 110b)가 도시됨)를 포함한다. 안테나(110)는 제 1 매칭 네트워크(114)를 통해 통상적으로 약 50kHz 내지 약 13.56MHz 범위의 동조가능한 주파수에서 약 3000W에 이르는 전력을 생성할 수 있는 플라즈마 전력원(112)과 결합된다.
프로세싱 가스들은 가스 패널(120)로부터 하나 이상의 입구(116)를 통해 챔버(102)로 제공된다. 입구(116)는 프로세스 챔버(102)의 리드(108) 또는 벽(108)에 위치될 수 있다. 도 1에 도시된 실시예에서, 입구(116)는 예를 들어, 챔버 벽(104)에 형성된 입구(106)를 통해 챔버(102)로 진입하는 가스들의 주도적인 방사상 흐름을 유도하도록 위치된다.
기판 지지 페데스탈(캐소드)(124)은 제 2 매칭 네트워크(142)를 통해 바이어싱 전력원(140)과 결합된다. 일반적으로 바이어싱 전력원(140)은 연속형 또는 펄스형 전력을 생성할 수 있는 대략 13.56MHz 주파수에서 약 500W에 이르는 소스이다. 선택적으로 바이어싱 전력원(140)은 DC 또는 펄스형 DC 소스일 수 있다. 일 실시예에서, 기판 지지 페데스탈(124)은 적어도 하나의 클램핑 전극(132)을 가지며 척 전력 공급부(166)에 의해 제어되는 정전기 척(160)을 포함한다. 선택적 실시예에서, 기판 지지 페데스탈(124)은 서셉터 클램프 링, 기계적 척 등과 같은 기판 보유 메커니즘을 포함할 수 있다.
레티클 어댑터(182)는 기판 지지 페데스탈(124) 상에 기판(예를 들어, 마스크 또는 레티클)을 고정하는데 이용된다. 일반적으로 레티클 어댑터(182)는 기판 지지 페데스탈(124)(예를 들어, 정전기 척(160))의 상부 표면을 커버하는 하부 부분(184)과 기판(122)을 보유는 크기 및 형상인 개구부(188)를 갖는 상부 부분(186)을 포함한다. 일반적으로 개구부(188)는 기판 지지 페데스탈(124)을 기준으로 실질적으로 중심설정된다. 일반적으로 어댑터(182)는 에칭을 견디고, 폴리이미드 세라믹 또는 석영과 같이 고온을 견디는 물질의 단일 부품으로 형성된다. 에지 링(126)은 기판 지지 페데스탈(124)에 어댑터(182)를 커버 및/또는 고정할 수 있다. 승강 메커니즘(138)은 어댑터(182) 및 기판(122)을 기판 지지 페데스탈(124)의 위 또는 아래로 하강 또는 승강시키기 위해 이용된다. 일반적으로, 승강 메커니즘(138)은 각각의 가이드 홀(136)을 통해 이동하는 다수의 리프트 핀(130)(하나의 리프트 핀이 도시됨)을 포함한다.
동작시, 기판(122)이 온도는 기판 지지 페데스탈(124)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 기판 지지 페데스탈(124)은 저항성 히터(144) 및 히트 싱크(128)를 포함한다. 일반적으로 저항성 히터(144)는 적어도 하나의 가열 부재(134)를 포함하며 히터 전력 공급부(168)에 의해 조절된다. 가스 소스(156)로부터의 헬륨(He)과 같은 후방 가스가 기판 지지 페데스탈(124)과 기판(122) 사이의 열 전달이 용이하도록 기판(122) 아래에 형성된 채널들로 가스 도관(158)을 통해 제공된다. 프로세싱 동안, 기판 지지 페데스탈(124)은 후방 가스와 조합되어 기판(122)의 균일한 가열을 조장하는 정상-상태 온도로 저항성 히터(144)에 의해 가열될 수 있다. 이러한 열적 제어를 이용하여, 기판(122)은 섭씨 약 0 내지 350℃ 사이의 온도로 유지될 수 있다.
이온-라디칼 차폐물(170)은 기판 지지 페데스탈(124) 위의 프로세스 챔버(102)에 배치된다. 이온 라디칼 차폐물(170)은 플레이트로부터 접지로의 접지가 제공되지 않도록, 챔버 벽(104)과 기판 지지 페데스탈(124)로부터 전기적으로 접지된다. 이온-라디칼 차폐물(170)의 일 실시예는 실질적으로 평탄한 플레이트(172) 및 플레이트(172)를 지지하는 다수의 레그(176)를 포함한다. 프로세스 요구조건들과 호환되는 다양한 물질들로 구성될 수 있는 플레이트(172)는 플레이트(172) 내에 원하는 개구 면적을 한정하는 하나 이상의 개구부(구멍)를 포함한다. 이러한 개구 면적은 프로세스 챔버(102)의 상부 프로세스 볼륨(178)에 형성된 플라즈마로부터 이온-라디칼 차폐물(170)과 기판(122) 사이에 위치된 하부 프로세스 볼륨(180)으로 통과하는 이온의 양을 조절한다. 개구 면적이 클수록, 보다 많은 이온이 이온-라디칼 차폐물(170)을 통과할 수 있다. 이처럼, 구멍(174)의 크기는 볼륨(180)내의 이온 밀도를 조절하며, 차폐물(170)은 이온 필터로서 작용한다. 플레이트(172)는 스크린 또는 메쉬를 포함할 수 있으며, 스크린 또는 메쉬의 개구 면적은 구멍(174)에 의해 제공되는 원하는 개구 면적에 해당한다. 선택적으로, 플레이트와 스크린 또는 메쉬의 조합이 이용될 수 있다.
프로세싱 동안, 플라즈마로부터 전자 충돌의 결과로서 플레이트(172)의 표면상에 전위가 전개된다. 전위는 플라즈마로부터 이온들을 끌어당겨, 플라즈마로부터 이온들을 효과적으로 필터링하면서, 예를 들어, 라디칼과 같은 중성 종이 플레이트(172)의 구멍(174)을 통과하게 한다. 따라서, 이온-라디칼 차폐물(170)을 통과하는 이온의 양을 감소시킴으로써, 중성 종 또는 라디칼에 의한 마스크 에칭은 보다 제어된 방식으로 처리될 수 있다. 이는 레지스트의 부식뿐만 아니라 패터닝된 물질층의 측벽 상에서 레지스트의 스퍼터링을 감소시켜, 결과적으로 에칭 바이스 및 임계치수 균일성이 개선된다.
물질 및/또는 구성의 상이한 조합이 차폐물 플레이트(172)의 다양한 실시예에서 제공된다. 일 실시예에서, 플레이트(172)는 예를 들어, 알루미나, 이트리아 및 K140(Kyocera로부터 입수가능한 전매 물질)과 같은 세라믹을 포함하는, 약 4 이상의 유전 상수를 가지는 물질로 구성될 수 있다. 본 발명의 또 다른 실시예에 따라, 플레이트(170)는 서로 상이한 적어도 하나의 특성을 갖는 2개의 구역을 포함한다. 예를 들어, 차폐물은 다양한 기하학구조(예를 들어, 크기, 형상 및 개구 면적)를 포함하여 상이한 구성을 갖는 다수의 구역을 포함할 수 있으며, 상기 구역은 동일한 또는 상이한 물질들로 구성되거나 또는 상이한 전위 바이어스를 갖도록 구성될 수 있다. 구역 구성, 물질 및/또는 전위 바이어스의 조합을 제공함으로써, 플라즈마의 이온 및 중성자들의 공간적 분포가 국한된 방식으로 변형될 수 있어, 에칭 균일성과 같은 프로세스 특성의 고객화(customization), 또는 국부적으로 강화된 또는 감소된 에칭률(마스크의 상이한 부분들에서 상이한 패턴 밀도로 조절되도록) 등이 허용된다. 예를 들어, 이러한 다중-구역 차폐물은 플라즈마 종 분포의 활성적 제어를 위해 사용될 수 있고 강화된 프로세스 제어를 허용한다.
도 5a는 상이한 구역들(172A, 172B, 172C, 172D)을 갖는 플레이트(172)의 일 실시예를 나타내며, 적어도 2개 구역은 상이한 물질로 구성된다. 적절한 물질들로는 다양한 세라믹(예를 들어, 알루미나, 이트리아), 양극산화된 알루미늄, 석영, 약 4 이상의 유전 상수를 갖는 물질, 예를 들어 Kyocera에 의해 제조되는 K140이 포함된다. 이러한 구역들은 상이한 기하학적 구성 또는 패턴, 예를 들어 원형(도 5a에 도시), 동심 링, 그리드 또는 슬라이스 패턴, 또는 상이한 기하학적 형상의 다른 조합으로 배열된 웨지(wedges)로 제공될 수 있다.
도 5b는 또 다른 실시예를 나타내며, 플레이트(172)는 물리적으로 분리된 또는 전기적으로 서로 절연된 상이한 구역들 또는 세그먼트들(172A, 172B, 172C, 172D)로 분할되나 주로 하나의 물질로 구성된다. 예를 들어, 동일한 물질들의 구역은 갭(172G) 또는 상이한 물질에 의해 분리될 수 있다. 이들 구역들은 각각이 상이한 전위로 독립적으로 바이어스될 수 있도록 구성된다. 도 5b에 도시된 것처럼, 구역(172A, 172B)은 전위 바이어스를 공급하기 위해, 각각의 구역에 대해 독립적으로 제어될 수 있는 각각의 전력원, 예를 들어, 190A, 190B와 접속된다. 이러한 접속은 도 5c에 도시된 것처럼 지지 레그들(176) 중 하나를 통해 제공될 수 있다.
도 5d는 또 다른 실시예를 나타내며, 플레이트(172)는 플레이트(172) 상의 2개 위치(172X, 172Y)에 대해 인가되는 전위 바이어스를 갖는 하나의 물질로 구성된다. 전위 바이어스는 각각의 위치와 전력원(190C, 190D)을 접속시킴으로써 인가된다. 본 실시예에서는 위치(172X, 172Y) 부근의 상이한 전위 바이어스의 2개 구역들 사이에 갭 또는 물리적 간격이 없다. 대신, 위치들(172X, 172Y) 사이에서 플레이트(172) 상에 전위 변화가 설정된다.
플레이트(172)의 이러한 다양한 실시예들은 서로 조합하여 사용될 수 있다. 예를 들어 단일 물질로 구성되든지 또는 상이한 물질로 구성되든지 간에 플레이트는 상이한 구역 구성을 포함하거나 또는 플레이트에 대해 상이한 전위 바이어스를 제공할 수 있다. 다양한 구역은 프로세스 특성이 특정 조건에 적합하도록 주문화될 수 있도록 특정 마스크 패턴에 따라 조절되도록 구성될 수 있다. 따라서, 마스크가 상이한 패턴 밀도 또는 로딩의 영역들을 갖는 경우, 이들 영역들에 대해 요구되는 에칭률은 서로 상이할 수 있다. 이 경우, 원하는 에칭 결과를 달성하기 위해 특정 마스크 패턴에 기초하여 차폐물 플레이트(172) 상에 구역들 또는 세그먼트들을 구성할 수 있다.
크기, 형상, 공간 및 기하학적 배열이 변할 수 있는 구멍(174)은 일반적으로 약 0.03인치(0.07cm) 내지 약 3인치(7.62cm) 범위의 치수를 가질 수 있으며, 약 2 퍼센트 내지 약 90퍼센트로 플레이트(172)의 각각의 구역 내에 개구 면적을 한정하도록 배열될 수 있다. 구멍(174)의 크기, 형상 및 패터닝은 하부 프로세스 볼륨(180)에서 원하는 이온 밀도에 따라 변할 수 있다. 예를 들어, 플레이트(172)의 특정 구역에서 작은 직경들의 보다 많은 구멍들이 볼륨(180)의 해당 영역에서 라디칼(또는 중성자) 대 이온 밀도 비율을 증가시키기 위해 사용될 수 있다. 선택적으로, 다수의 구멍이 볼륨(180)의 해당 영역에서 이온 대 라디칼(중성자) 밀도 비율을 증가시키기 위해 작은 구멍에 분포될 수 있다.
이온-라디칼 차폐물(170)이 지지되는 높이는 에칭 프로세스 제어가 조장되도록 변할 수 있다. 이온-라디칼 차폐물이 실링(108)과 가깝게 위치될수록, 상부 프로세스 볼륨(178)은 작아져, 보다 안정된 플라즈마가 조장된다. 보다 빠른 에칭률 은 이온-라디칼 차폐물(170)을 기판 지지 페데스탈(124) 및 기판(122)에 보다 가깝게 위치시킴으로써 얻어질 수 있다. 선택적으로, 보다 제어되기는 하지만 낮은 에칭률은 기판 지지 페데스탈(124)로부터 이온-라디칼 차폐물(170)을 보다 멀리 위치시킴으로써 달성될 수 있다. 따라서 이온-라디칼 차폐물(170)의 높이를 조절함으로써 에칭률을 제어하여 보다 개선된 임계 치수 균일성 및 감소된 에칭 바이어스를 갖는 균형적인 빠른 에칭률이 허용된다. 이온-라디칼 차폐물(170)은 상이한 기하학적 크기를 가지는 챔버들, 예를 들면 보다 큰 챔버 또는 보다 작은 챔버에서 상이한 높이에 위치될 수 있다.
일반적으로 기판(122)을 기준으로 이격된 관계로 플레이트(172)를 지지하는 레그들(176)은 일반적으로 기판 지지 페데스탈(124) 또는 에지 링(126)의 외부 주변부 부근에 위치되며 플레이트(172)와 동일한 물질로 제조될 수 있다. 일 실시예에서, 이온-라디칼 차폐물(170)을 지지하는 데는 3개의 레그(176)가 이용된다. 일반적으로 레그들(176)은 기판(122) 또는 기판 지지 페데스탈(124)을 기준으로 실질적으로 평행한 배향으로 플레이트(172)를 유지하지만, 가변 길이의 레그들(176)을 가짐으로써 각진 배향이 이용될 수 있다. 레그들(176)은 다양한 고정 방식에 의해 플레이트(172)를 고정할 수 있으며, 기판 지지 페데스탈(124), 어댑터(182), 또는 에지 링(126) 상에서 지지될 수 있다.
선택적으로, 플레이트(172)는 챔버 벽(104) 또는 프로세스 챔버(102) 내의 다른 구조물에 부착된 브래켓(미도시)을 사용하는 것과 같은 다른 방식으로 기판 지지 페데스탈(124) 상에서 지지된다. 이 경우, 플레이트(172)는 접지(106)와 같 은 임의의 접지 경로로부터 절연된다.
본 발명의 일 실시예에 따라서, 편향기 플레이트 어셈블리(200)는 플레이트(172) 위에 제공된다. 또 다른 실시예에서, 플레이트(172)가 없는 경우, 편향기 플레이트 어셈블리(200)는 레티클 어댑터(182) 및/또는 에지 링(126) 위에 배치된다. 일 실시예에서, 편향기 플레이트 어셈블리(200)는 제 1 지지 어셈블리(202)에의해 플레이트(172)에 대해 이격된 관계로 유지되는 제 1 플레이트(210)를 포함한다. 제 1 플레이트는 예를 들어, 세라믹, 석영 또는 양극산화된 알루미늄과 같이 프로세스와 호환가능한 다양한 물질로 제조될 수 있다. 도 1의 개략적 단면도에 도시된 것처럼, 제 1 플레이트(210)는 입구(116)로부터 플레이트(172)를 향해 챔버(102)로 진입하는 플라즈마 가스에 대한 주요 가스 흐름 경로를 변경하는 구멍(215)을 갖는다. 일 실시예에서, 구멍(215)은 차폐물 플레이트(172)의 중심부와 정렬되는 제 1 플레이트(210)의 중심부에 위치된다. 또 다른 실시예에서, 구멍(215)은 특정한 프로세싱 조건에 맞는 원하는 가스 흐름 패턴을 제공하기 위해 제 1 플레이트(210) 상의 상이한 위치에 배치될 수 있다. 또한, 원할 경우, 제 1 플레이트(210)의 다양한 위치에 추가의 구멍들이 제공될 수 있다. 예를 들어, 구멍(215)에 비해 작은 직경을 갖는 구멍은 가스 흐름 패턴의 미세한 조절을 제공하기 위해 사용될 수 있다.
제 1 지지 어셈블리(202)는 제 1 플레이트(21)를 차폐물 플레이트(172)에 결합하는 하나 이상의 지지 부재, 예를 들어 다수의 긴 부재 또는 레그를 포함할 수 있다. 레그들은 나사, 볼트 등을 포함하는 다양한 종래의 수단에 의해 차폐물 플레이트(172) 및 제 1 플레이트(210)에 부착될 수 있다. 도 2는 제 1 플레이트(210)의 일 실시예의 상부도의 개략도이다. 일 실시예에서, 3개의 레그들은 예를 들어, 제 1 플레이트(210) 상의 장착 홀들(212, 213, 214)에 레그들을 장착함으로써, 제 1 플레이트(210)를 차폐물 플레이트(172)에 부착하는데 이용된다. 제 1 플레이트와 차폐물 플레이트(172) 간의 수직 간격은 챔버 치수, 펌핑 구성, 가스 흐름 조건 및 특정한 프로세스 요구조건과 같은 요인들에 따라 변할 수 있다. 일 실시예에서, 제 1 플레이트(210)는 차폐물 플레이트(172) 위로 약 2 내지 3인치의 간격을 두고 위치된다. 또 다른 실시예에서, 분리 간격은 약 5인치 내지 약 6인치일 수 있다.
또 다른 실시예에서, 편향기 플레이트 어셈블리(200)는 제 1 플레이트(210) 위에 배치된 제 2 플레이트(220)를 더 포함한다. 도 1에 도시된 것처럼, 제 2(또는 상부) 플레이트(220)는 제 2 지지 어셈블리(204)에 의해 제 1(또는 하부) 플레이트(210) 상에서 지지된다. 상부 플레이트(220)는 하부 플레이트(210)의 구멍(215) 부근에 배치된 하향 돌출부(225)를 갖는다. 일 실시예에서, 하향 돌출부(225)는 상부 플레이트(220)의 중심부에 위치되며, 약 50.8mm(2인치)의 직경을 가지는 구멍(215)을 기준으로 측방으로 정렬된다.
도 3은 상부 플레이트(220)의 개략적 하부 투시도로, 중심부 부근의 하향 돌출부(225)를 나타낸다. 본 실시예에서, 상부 플레이트(220)는 제 2 지지 어셈블리(204)의 각각의 지지 부재들의 결합을 위해 3개의 나사형(threaded) 홀(222, 224, 226)을 가지며, 이는 장착 홀들(216, 217, 218)(도 2)에서 하부 플레이 트(210)와 다른 단부들이 부착된다. 일반적으로 하향 돌출부(225)는 예를 들어, 도 3의 실시예에서 원뿔형 또는 끝이 잘린 원뿔형과 같이, 구멍(215)과 유사한 단면 형상을 갖는다. 바람직하게 돌출부(225)는 예를 들어, 플레이트(220)의 평면에서 원단부 또는 말단부(229) 보다 폭이 넓은, 즉, d2보다 큰 치수 d1의 한쪽 단부(또는 베이스)(227)를 가지는 중심부를 향하는 테이퍼(taper)를 갖는다.
일 실시예에서, 상부 플레이트(220) 및 하부 플레이트(210)는 약 38.1 내지 50.8mm(1.5 내지 2인치)의 간격에 의해 분리되며, 구멍(215)은 약 50.8mm(2인치)의 직경을 갖는 원이다. 이러한 구성에 대해, 시뮬레이션 결과는 차폐물을 향하는 비교적 집중된 수직적 가스 흐름 및 포토마스크 기판 표면과 직교하는 흐름은 다른 속도가 사용될 수도 있지만, 약 5m/s 내지 약 20m/s 범위의 측면 주입 가스 속도로 설정될 수 있다는 것을 나타낸다. 소정의 가스 흐름 속도 및 편향기 플레이트 어셈블리 치수를 선택하기 위한 기준 중 하나는 이온 라디칼 차폐물과 직교하게 비교적 집중된 수직적 가스 흐름을 유지하는 것이다. 또 다른 실시예에서, 분리 간격범위는 약 25.4 내지 76.2mm(1 내지 3인치)일 수 있으며, 구멍 직경 범위는 약 25.4 내지 76.2mm(1 내지 3인치)일 수 있다. 일반적으로, 상부 플레이트(220), 하부 플레이트(210), 및 차폐물 플레이트(172) 간의 간격, 테이퍼 정도, 돌출부(225)의 형상 및 치수 및 구멍(215)의 형상, 위치 및 치수는 챔버 치수, 펌핑 구성, 가스 흐름 속도 등과 같은 다양한 요인들을 고려하여, 특정한 설계 및 적용 조건에 따라 변할 수 있다. 원하는 에칭률 또는 균일성 결과를 달성하는 것 이외에, 설계 파라미터는 비교적 넓은 범위를 가지는 프로세스를 제공하도록 선택된다.
플라즈마 에칭 이전에, 하나 이상의 프로세스 가스가 기판 페데스탈(124) 위에 위치된 하나 이상의 입구(116)(예를 들어, 개구부들, 인젝터들, 노즐들 등)를 통해 가스 패널(120)로부터 프로세스 챔버(102)에 제공된다. 도 1의 실시예에서, 가스 입구(116)는 편향기 플레이트 어셈블리(200)의 하부 플레이트(210) 위에 배치된다. 도 1에 도시된 것처럼, 프로세스 가스는 챔버 벽(104) 또는 챔버 벽(104)과 결합되는 가스 링(도시됨)에 형성될 수 있는 환형 가스 채널(118)을 사용하여 입구(116)에 제공된다. 가스 흐름 속도, 편향기 플레이트 어셈블리(200)의 위치, 구멍(215)의 크기를 적절히 선택함으로써, 프로세스 가스는 예를 들어, 화살표(250)로 표시된 방향을 따라, 주로 프로세스 챔버(102)의 중심부를 향해 흐르도록 방향이 설정될 수 있다. 따라서, 프로세스 가스들은 하부 플레이트(210) 위로 측방향으로 예를 들어, 측면 가스 입구(116)로부터 방사상 안쪽 방향으로, 차폐물 플레이트(172)를 향해 하부 플레이트(210)의 구멍(21)을 통해 아랫방향으로 흐른다. 단지 제 1 플레이트(210)만이 사용되는 선택적 실시예에서, 가스 입구(116)는 예를 들어, 리드(108)에서 또는 리드(108) 상에 중심설정되어 위치된 프로세스 챔버(102)의 다른 위치에 제공될 수도 있다.
상부 플레이트(220)가 사용될 때, 가스 입구(116)는 상부 플레이트(220)에 또는 상부 플레이트(220) 아래의 수직 위치에 배치된다. 본 실시예에서, 상부 플레이트(220)와 하부 플레이트(210) 사이, 예를 들어, 방사상 안쪽 방향으로의 가스 흐름은 구멍(215)을 통한 하향 돌출부(225)에 의해 편향 또는 재방향설정된다. 프 로세스 챔버(102)로 진입하는 가스들의 방사상 속도, 하향 돌출부(225) 및 구멍(215)의 위치, 및 상부 플레이트와 하부 플레이트(220, 210)의 수직 위치를 조절함으로써, 차폐물(170)을 통과하는 이온 및 중성 종들의 공간적 또는 측방 분포가 제어될 수 있고, 결국 에칭률 프로파일이 조절될 수 있다. 구멍(215)이 도시된 본 실시예에서 하부 플레이트(210) 상에 중심설정되어 위치되더라도, 다른 위치에 배치되거나, 다른 적용 조건들에 적합한 원하는 흐름 패턴을 설정하기 위해, 상이한 형상 및 치수로 제공될 수 있다. 에칭 프로세스 동안, 프로세스 가스는 플라즈마 전력원(112)으로부터 안테나(110)에 전력을 인가함으로써 플라즈마로 점화된다.
프로세스 챔버(102)의 압력은 트로틀 밸브(162) 및 진공 펌프(164)를 사용하여 제어된다. 챔버 벽(104)의 온도는 챔버 벽(104)을 통해 연장되는 액체-함유 도관들(미도시)을 사용하여 제어될 수 있다. 통상적으로, 챔버 벽(104)은 금속(예를 들어, 특히 알루미늄, 스테인레스 스틸)으로 형성되며 전기적 접지(106)에 결합된다. 또한, 프로세스 챔버(102)는 프로세스 제어, 내부 진단, 엔드 포인트 결정 등을 위한 종래의 시스템들을 포함한다. 이러한 시스템을 총체적으로 지지 시스템(154)으로 도시했다.
제어기(146)는 중앙 처리 장치(CPU)(150), 메모리(148), 및 CPU용 지지 회로(152)를 포함하며 프로세스 챔버(102)의 부품들 및 하기에 보다 상세히 설명되는 에칭 프로세스의 부품들의 제어를 조장한다. 제어기(146)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위한 산업적 셋팅(setting)에서 이용될 수 있는 임의의 형태의 범용성 컴퓨터 프로세서일 수 있다. CPU(150)의 메모리, 또는 컴퓨터-판독 가능 매체(642)는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드디스크, 또는 디지털 저장기, 로컬 또는 리모트와 같이 쉽게 입수가능한 하나 이상의 메모리일 수 있다. 지지 회로(152)는 종래의 방식으로 프로세서를 지지하기 위해 CPU(150)에 결합된다. 이러한 회로들로는 캐쉬, 전력원들, 클록 회로들, 입/출력 회로 및 서브시스템 등이 포함된다. 본 발명의 방법은 일반적으로 소프트웨어 루틴으로서 메모리(148)에 저장된다. 선택적으로, 이러한 소프트웨어 루틴은 CPU(150)에 의해 제어되는 하드웨어로부터 원격적으로 위치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
도 4는 본 발명의 편향기 플레이트 어셈블리가 통합된 에칭 챔버에서 포토마스크 기판을 에칭하는데 이용될 수 있는 방법(400)을 나타낸다. 방법(400)은 프로세스 챔버에 지지 페데스탈 위로 편향기 플레이트 어셈블리와 이온-중성 차폐물이 제공되는 단계(402)에서 시작된다. 편향기 플레이트 어셈블리는 차폐물 위로 배치된 적어도 하나의 구멍을 갖는다.
단계(404)에서, 기판은 지지 페데스탈 상에 위치된다. 일반적으로 전형적인 기판은 석영의 표면상에 배치된 금속의 불투명 광차단층을 가지는, 석영(즉, 실리콘 이산화물, SiO2)과 같은 광학적으로 투과성이 있는 실리콘계 물질을 포함한다. 포토마스크에 사용되는 전형적인 금속들로는 크롬 또는 크롬 산질화물이 포함된다. 또한, 기판은 석영과 크롬 사이에 삽입된 몰리브덴(Mo)으로 도핑된 실리콘 질화물(SiN)층을 포함할 수 있다.
단계(406)에서, 적어도 하나의 프로세스 가스는 편향기 플레이트 어셈블리의 구멍 위에 배치된 가스 입구를 통해 프로세스 챔버로 주입된다. 차폐물을 향하는 프로세스 가스 흐름 방향은 편향기 플레이트 어셈블리의 구멍 및 가스 입구의 위치에 의해 부분적으로 한정된다. 가스 입구가 챔버의 주변 영역 부근에 제공되는 실시예에 대해, 가스 흐름은 예를 들어 적절한 흐름 속도를 제공함으로써, 구멍을 향해 방사상 내부 방향으로 설정된다. 하향 돌출부를 가지는 제 2 플레이트는 구멍을 향해 가스 흐름을 아랫 방향으로 재방향설정하기 위해 제 1 플레이트 위에 제공된다.
예시적인 프로세스 가스들로는 일산화탄소(CO)와 같은 산소(O2) 또는 산소-함유 가스, 및/또는 금속층 에칭을 위한 염소-함유 가스와 같은 할로겐-함유 가스를 포함할 수 있다. 프로세싱 가스는 불활성 가스 또는 다른 산소-함유 가스를 더 포함할 수 있다. 일산화탄소는 표면, 특히 패터닝된 레지스트 물질 및 에칭된 금속층에 형성된 개구부들 및 패턴들의 측벽들 상에 패시베이팅 폴리머 증착물을 형성하는데 바람직하게 이용된다. 염소-함유 가스는 염소(Cl2), 사염화실리콘(SiCl4), 삼염화붕소(BCl3), 및 이들의 조합 그룹에서 선택되며, 금속층을 에칭하기 위해 반응성 라디컬을 공급하는데 이용된다. 석영 또는 MoSi를 에칭하기 위한 또 다른 실시예에서, 프로세스 가스들은 예를 들어, 트리플루오로메탄(CHF3), 테트라플루오로메탄(CF4) 등과 같은 불소-함유 가스를 포함할 수 있다.
단계(408)에서, 플라즈마는 예를 들어, 플라즈마 전력원으로부터 안테나로 RF 전력을 인가함으로써 이온-라디칼 차폐물 위의 프로세스 볼륨에서 프로세스 가스로부터 형성된다. 이온들 및 중성 종들은 프로세스 가스 흐름 방향(편향기 플레이트 어셈블리에 의해 한정됨)과 이온-라디칼 차폐물에 대한 전위의 조합으로부터 야기되는 분포 패턴에 따라 이온-라디칼 차폐물을 통과한다. 기판은 하부 프로세스 볼륨의 이온들 및 중성 종들에 의해 에칭된다.
본 발명의 방법 및 장치는 예를 들어, 에지와 비교할 때 중심부에서 낮은 에칭률을 가지는 것처럼, 방사상 불균일성을 나타내는 에칭 프로세스에서 바람직하게 사용될 수 있다. 가스 흐름 방향 또는 패턴을 설정함으로써 또는 예정된 영역, 예를 들어 중심 영역에서 가스 흐름 속도를 증가시킴으로써, 편향기 플레이트 어셈블리는 포토마스크의 해당 영역에서 증가된 에칭률을 유도할 수 있어, 에칭 균일성이 개선된다. 챔버 내에서 주어진 편향기 플레이트 어셈블리 구성에 대해, 다양한 분야에서의 하나 이상의 프로세스 가스들의 흐름 속도는 원하는 에칭 프로파일 또는 프로세스 결과를 달성하도록 조절될 수 있다.
지금까지 본 발명의 실시예에 관한 것이었지만, 본 발명의 다른 실시예들이 하기 본 발명의 특허청구범위에 의해 한정되는 본 발명의 기본 사상 및 개념을 이탈하지 않고 고안될 수 있다.
도 1은 본 발명의 편향기 플레이트 어셈블리를 갖춘 플라즈마 프로세스 챔버의 개략도.
도 2는 도 1의 편향기 플레이트 어셈블리의 플레이트의 일 실시예를 상부에서 본 개략도.
도 3은 도 1의 편향기 플레이트 어셈블리의 제 2 플레이트의 일 실시예를 투시해본 개략도.
도 4는 본 발명의 일 실시예에 따른 포토마스크를 에칭하는 방법의 흐름도.
도 5a-5d는 편향기 플레이트 어셈블리와 조합되어 사용될 수 있는 이온-중성 차폐물의 상이한 실시예들의 개략도들.

Claims (20)

  1. 플라즈마 에칭 장치로서,
    프로세스 챔버;
    상기 프로세스 챔버에 배치된 기판 지지 페데스탈;
    상기 챔버내에 플라즈마를 형성하는 RF 전력원;
    상기 페데스탈 위로 상기 챔버 내에 그리고 상기 챔버내의 플라즈마 형성 영역 아래에 배치되며, 상기 플라즈마의 이온 및 중성 종들의 분포를 제어하도록 구성되는 차폐물;
    상기 챔버 속으로의 가스 흐름을 제공하기 위한 적어도 하나의 가스 입구; 및
    상기 차폐물 및 상기 기판 지지 페데스탈 위로 그리고 상기 챔버의 상기 플라즈마 형성 영역 내에 배치되는 편향기 플레이트 어셈블리
    를 포함하며, 상기 편향기 플레이트 어셈블리는 가스 속도의 변화들에 응답하여 상기 가스 입구와 상기 기판 지지 페데스탈 사이의 가스 흐름 패턴의 수직 성분에 대해 방사상 제어되도록 구성되는, 플라즈마 에칭 장치.
  2. 제 1 항에 있어서,
    상기 적어도 하나의 가스 입구는 방사상 가스 흐름이 생성되도록 상기 프로세스 챔버의 측벽에 배치되는, 플라즈마 에칭 장치.
  3. 제 1 항에 있어서,
    상기 편향기 플레이트 어셈블리는 구멍을 갖는 제 1 플레이트를 포함하는, 플라즈마 에칭 장치.
  4. 제 3 항에 있어서,
    상기 구멍은 상기 제 1 플레이트의 중심 영역에 위치되는, 플라즈마 에칭 장치.
  5. 제 3 항에 있어서,
    상기 적어도 하나의 가스 입구는 상기 제 1 플레이트 위에 배치되는, 플라즈마 에칭 장치.
  6. 제 3 항에 있어서,
    상기 편향기 플레이트 어셈블리는 상기 제 1 플레이트 위에 배치되는 제 2 플레이트를 더 포함하며, 상기 제 2 플레이트는 상기 구멍 위에 배치된 하향 돌출부를 가지는, 플라즈마 에칭 장치.
  7. 제 6 항에 있어서,
    상기 하향 돌출부는 상기 제 1 플레이트의 구멍과 실질적으로 정렬되는, 플라즈마 에칭 장치.
  8. 제 6 항에 있어서,
    상기 하향 돌출부는 상기 제 2 플레이트의 평면에서 제 1 단부 및 말단부를 가지며, 상기 제 1 단부는 상기 말단부에서의 측방 치수보다 큰 측방 치수를 가지는, 플라즈마 에칭 장치.
  9. 제 1 항에 있어서,
    상기 편향기 플레이트 어셈블리는 세라믹, 석영 또는 양극산화된 알루미늄 중 적어도 하나로 제조되는, 플라즈마 에칭 장치.
  10. 제 1 항에 있어서,
    상기 지지 페데스탈은 포토마스크를 지지하도록 구성되는, 플라즈마 에칭 장치.
  11. 삭제
  12. 제 3 항에 있어서,
    상기 구멍은 상기 제 1 플레이트의 중심부에 위치되며 실질적으로 상기 차폐물의 중심부와 정렬되는, 플라즈마 에칭 장치.
  13. 제 3 항에 있어서,
    상기 편향기 어셈블리의 상기 제 1 플레이트는 지지 어셈블리에 의해 상기 차폐물에 부착되며 상기 차폐물을 기준으로 실질적으로 평행하게 이격된 관계로 지지되는, 플라즈마 에칭 장치.
  14. 제 1 항에 있어서,
    상기 차폐물은 서로 상이한 적어도 하나의 특성을 가지는 2개 구역을 포함하며, 상기 적어도 하나의 특성은 물질 또는 전위 바이어스중 하나인, 플라즈마 에칭 장치.
  15. 제 14 항에 있어서,
    상기 2개 구역들 각각은 서로 상이한 유전상수를 갖는 물질을 포함하며, 상기 물질은 양극산화된 알루미늄, 세라믹, 알루미나, 이트리아, 및 4 이상의 유전상수를 가지는 물질로 이루어진 그룹에서 선택되는, 플라즈마 에칭 장치.
  16. 제 14 항에 있어서,
    상기 차폐물의 상기 2개 구역들은 각각 서로 상이한 전위 바이어스를 가지는 , 플라즈마 에칭 장치.
  17. 제 1 항에 있어서,
    상기 차폐물은 서로 상이한 적어도 하나의 특성을 가지는 다수의 구역을 포함하며, 상기 적어도 하나의 특성은 물질 또는 전위 바이어스 중 하나인, 플라즈마 에칭 장치.
  18. 프로세스 챔버에서 포토마스크를 에칭하는 방법으로서,
    지지 페데스탈 상에 포토마스크를 위치시키는 단계;
    상기 챔버 내에서 상기 지지 페데스탈 위로 차폐물을 제공하는 단계;
    적어도 하나의 입구를 통해 상기 프로세스 챔버 속으로 프로세스 가스를 주입하는 단계;
    상기 차폐물 위로 편향기 플레이트 어셈블리를 배치함으로써 상기 가스 입구와 상기 차폐물 사이에 예정된 가스 흐름 패턴을 제공하는 단계;
    상기 차폐물 위쪽 영역에서 상기 프로세스 가스로부터 플라즈마를 형성하는 단계; 및
    상기 차폐물을 통과하는 이온 및 중성 종들로 상기 포토마스크를 에칭하는 단계
    를 포함하는, 포토마스크 에칭 방법.
  19. 제 18 항에 있어서,
    상기 예정된 가스 흐름 패턴을 제공하는 단계는,
    상기 적어도 하나의 입구 아래에 배치되며 구멍을 가지는 제 1 플레이트를 상기 편향기 플레이트 어셈블리에 제공하는 단계; 및
    상기 차폐물을 향해 상기 구멍을 통과하는 가스 흐름의 방향을 설정하는 단계
    를 더 포함하는, 포토마스크 에칭 방법.
  20. 제 18 항에 있어서,
    서로 상이한 적어도 하나의 특성을 가지는 2개 구역으로 상기 차폐물을 구성함으로써 상기 차폐물을 통과하는 이온 및 중성 종들의 분포를 제어하는 단계
    를 더 포함하며, 상기 적어도 하나의 특성은 물질 또는 전위 바이어스 중 하나인, 포토마스크 에칭 방법.
KR1020070086475A 2006-10-30 2007-08-28 포토마스크 플라즈마 에칭 방법 및 장치 KR100984422B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/554,502 US7943005B2 (en) 2006-10-30 2006-10-30 Method and apparatus for photomask plasma etching
US11/554,502 2006-10-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020100016068A Division KR101252062B1 (ko) 2006-10-30 2010-02-23 포토마스크 플라즈마 에칭 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20080039204A KR20080039204A (ko) 2008-05-07
KR100984422B1 true KR100984422B1 (ko) 2010-09-30

Family

ID=39040307

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020070086475A KR100984422B1 (ko) 2006-10-30 2007-08-28 포토마스크 플라즈마 에칭 방법 및 장치
KR1020100016068A KR101252062B1 (ko) 2006-10-30 2010-02-23 포토마스크 플라즈마 에칭 방법 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020100016068A KR101252062B1 (ko) 2006-10-30 2010-02-23 포토마스크 플라즈마 에칭 방법 및 장치

Country Status (6)

Country Link
US (2) US7943005B2 (ko)
EP (1) EP1918970B1 (ko)
JP (1) JP5248839B2 (ko)
KR (2) KR100984422B1 (ko)
CN (1) CN101174107B (ko)
TW (1) TWI407503B (ko)

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP2010524225A (ja) * 2007-04-02 2010-07-15 ソースル シーオー エルティディー 基板支持装置及びこれを備えるプラズマエッチング装置
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
DE102008027856A1 (de) 2008-06-11 2009-12-24 W. L. Gore & Associates Gmbh Schuh mit Belüftung im unteren Schaftbereich und dafür verwendbares luftdurchlässiges Abstandsgebilde
US8834732B2 (en) * 2008-10-02 2014-09-16 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
US8329055B2 (en) * 2008-10-02 2012-12-11 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US9076634B2 (en) * 2009-09-10 2015-07-07 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
CA2811750C (en) 2010-08-23 2018-08-07 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US10202684B2 (en) 2010-08-23 2019-02-12 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP6100691B2 (ja) * 2010-10-28 2017-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高純度アルミニウムコーティングの硬質陽極酸化処理
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8691702B2 (en) * 2011-03-14 2014-04-08 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5851899B2 (ja) * 2011-03-25 2016-02-03 東京エレクトロン株式会社 プラズマ処理装置
KR20140037097A (ko) * 2011-04-27 2014-03-26 다우 코닝 프랑스 기판의 플라즈마 처리
KR101926571B1 (ko) * 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
CN107452592B (zh) * 2011-05-31 2019-11-12 应用材料公司 边缘保护板、边缘保护组件以及用于处理基板的设备
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US20130287963A1 (en) * 2012-04-26 2013-10-31 Varian Semiconductor Equipment Associates, Inc. Plasma Potential Modulated ION Implantation Apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US20140151331A1 (en) * 2012-12-05 2014-06-05 Applied Materials, Inc. Deposition shield for plasma enhanced substrate processing
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN105378898A (zh) * 2013-02-25 2016-03-02 艾克索乔纳斯公司 减少缺陷的基板处理方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20180047595A1 (en) * 2015-05-22 2018-02-15 Hitachi High-Technologies Corporation Plasma processing device and plasma processing method using same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9960049B2 (en) 2016-05-23 2018-05-01 Applied Materials, Inc. Two-step fluorine radical etch of hafnium oxide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102548259B1 (ko) * 2016-12-27 2023-06-27 에바텍 아크티엔게젤샤프트 Rf 용량성 결합 듀얼 주파수 에칭 반응기
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102273971B1 (ko) * 2017-10-20 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113823545A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种改进多腔室设备工艺偏差的装置及方法
JP2022112423A (ja) * 2021-01-21 2022-08-02 東京エレクトロン株式会社 プラズマ処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030019580A1 (en) * 2000-03-30 2003-01-30 Strang Eric J. Method of and apparatus for tunable gas injection in a plasma processing system
EP1612840A2 (en) * 2004-06-30 2006-01-04 Applied Materials, Inc. Method and apparatus for photomask plasma etching

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4496420A (en) 1984-04-06 1985-01-29 Bmc Industries, Inc. Process for plasma desmear etching of printed circuit boards and apparatus used therein
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPS62299031A (ja) 1986-06-18 1987-12-26 Nec Corp 平行平板型エツチング装置の電極構造
JPH02184029A (ja) 1989-01-11 1990-07-18 Fujitsu Ltd ドライエッチング装置
JPH07101685B2 (ja) 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
US5075256A (en) 1989-08-25 1991-12-24 Applied Materials, Inc. Process for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
JP2888258B2 (ja) 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JPH04240725A (ja) 1991-01-24 1992-08-28 Sumitomo Electric Ind Ltd エッチング方法
JP2669168B2 (ja) * 1991-03-29 1997-10-27 住友金属工業株式会社 マイクロ波プラズマ処理装置
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP3257741B2 (ja) 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH08148473A (ja) 1994-11-15 1996-06-07 Toshiba Corp プラズマ処理装置
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5673922A (en) 1995-03-13 1997-10-07 Applied Materials, Inc. Apparatus for centering substrates on support members
KR100197649B1 (ko) 1995-09-29 1999-06-15 김영환 박막 증착장치
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
KR100469908B1 (ko) 1996-09-30 2005-02-02 램 리서치 코포레이션 기재 지지수단 상의 폴리머 증착을 감소시키는 장치
JPH10270430A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6071372A (en) 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
JPH1167727A (ja) 1997-08-19 1999-03-09 Hitachi Ltd プラズマ処理装置及びその方法
US5972781A (en) 1997-09-30 1999-10-26 Siemens Aktiengesellschaft Method for producing semiconductor chips
CN1102087C (zh) 1997-10-15 2003-02-26 东京电子株式会社 处理基片的等离子体处理系统和方法
US6077404A (en) 1998-02-17 2000-06-20 Applied Material, Inc. Reflow chamber and process
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
JPH11345801A (ja) * 1998-05-29 1999-12-14 Shibaura Mechatronics Corp 真空処理装置
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6261406B1 (en) 1999-01-11 2001-07-17 Lsi Logic Corporation Confinement device for use in dry etching of substrate surface and method of dry etching a wafer surface
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
JP2001052894A (ja) * 1999-08-04 2001-02-23 Ulvac Japan Ltd 誘導結合高周波プラズマ源
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
JP3529676B2 (ja) * 1999-09-16 2004-05-24 株式会社東芝 半導体製造装置および半導体装置の製造方法
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR20010039233A (ko) 1999-10-29 2001-05-15 윤종용 반도체 스퍼터링 설비의 웨이퍼 에칭 장치
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6553332B2 (en) 1999-12-22 2003-04-22 Texas Instruments Incorporated Method for evaluating process chambers used for semiconductor manufacturing
US6676800B1 (en) 2000-03-15 2004-01-13 Applied Materials, Inc. Particle contamination cleaning from substrates using plasmas, reactive gases, and mechanical agitation
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US20040011468A1 (en) 2000-05-30 2004-01-22 Jun Hirose Gas introduction system for temperature adjustment of object to be processed
US6521292B1 (en) 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
WO2002033729A2 (en) 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP4366856B2 (ja) 2000-10-23 2009-11-18 東京エレクトロン株式会社 プラズマ処理装置
JP4877884B2 (ja) 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
US20020121501A1 (en) 2001-03-05 2002-09-05 Choquette Scott F. Reduction of sodium contamination in a semiconductor device
US20020142612A1 (en) 2001-03-30 2002-10-03 Han-Ming Wu Shielding plate in plasma for uniformity improvement
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6652711B2 (en) 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
KR20020095324A (ko) 2001-06-14 2002-12-26 삼성전자 주식회사 고주파 파워를 이용하는 반도체장치 제조설비
KR100422446B1 (ko) 2001-07-12 2004-03-12 삼성전자주식회사 건식식각장치의 이그저스트링
US6868800B2 (en) 2001-09-28 2005-03-22 Tokyo Electron Limited Branching RF antennas and plasma processing apparatus
TW567394B (en) 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
KR20030041495A (ko) 2001-11-20 2003-05-27 주식회사 하이닉스반도체 반도체 소자 및 제조 방법
JP2005514762A (ja) 2001-12-20 2005-05-19 東京エレクトロン株式会社 加工物をプラズマ処理するための磁気フィルタを備える方法および装置
US20030194510A1 (en) 2002-04-16 2003-10-16 Applied Materials, Inc. Methods used in fabricating gates in integrated circuit device structures
WO2003089990A2 (en) 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP2003338491A (ja) 2002-05-21 2003-11-28 Mitsubishi Electric Corp プラズマ処理装置および半導体装置の製造方法
US20040031565A1 (en) 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
KR100465877B1 (ko) 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US7459098B2 (en) 2002-08-28 2008-12-02 Kyocera Corporation Dry etching apparatus, dry etching method, and plate and tray used therein
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20030047536A1 (en) 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
JP2004165298A (ja) 2002-11-11 2004-06-10 Canon Sales Co Inc プラズマ処理装置及びプラズマ処理方法
JP4479222B2 (ja) 2002-11-22 2010-06-09 沖電気工業株式会社 化合物半導体層の表面処理方法及び半導体装置の製造方法
US7582186B2 (en) 2002-12-20 2009-09-01 Tokyo Electron Limited Method and apparatus for an improved focus ring in a plasma processing system
JP2004214336A (ja) 2002-12-27 2004-07-29 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6805779B2 (en) 2003-03-21 2004-10-19 Zond, Inc. Plasma generation using multi-step ionization
KR101141488B1 (ko) 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
WO2004095502A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
US6806651B1 (en) 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
JP2004349419A (ja) 2003-05-21 2004-12-09 Tokyo Electron Ltd プラズマ処理装置の異常原因判定方法及び異常原因判定装置
US7241345B2 (en) 2003-06-16 2007-07-10 Applied Materials, Inc. Cylinder for thermal processing chamber
US20040261718A1 (en) 2003-06-26 2004-12-30 Kim Nam Hun Plasma source coil for generating plasma and plasma chamber using the same
US20050011447A1 (en) 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20050066902A1 (en) 2003-09-26 2005-03-31 Tokyo Electron Limited Method and apparatus for plasma processing
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7449220B2 (en) 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060060603A1 (en) * 2004-09-20 2006-03-23 Firth Gregory S Novelty squirting device
JP3732210B2 (ja) * 2004-12-13 2006-01-05 株式会社日立製作所 プラズマエッチング装置
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
KR100698614B1 (ko) * 2005-07-29 2007-03-22 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
JP2007042951A (ja) 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
US7964818B2 (en) 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030019580A1 (en) * 2000-03-30 2003-01-30 Strang Eric J. Method of and apparatus for tunable gas injection in a plasma processing system
EP1612840A2 (en) * 2004-06-30 2006-01-04 Applied Materials, Inc. Method and apparatus for photomask plasma etching

Also Published As

Publication number Publication date
CN101174107A (zh) 2008-05-07
US20110162797A1 (en) 2011-07-07
US20080099431A1 (en) 2008-05-01
KR20100035142A (ko) 2010-04-02
KR20080039204A (ko) 2008-05-07
TWI407503B (zh) 2013-09-01
TW200822214A (en) 2008-05-16
US7943005B2 (en) 2011-05-17
KR101252062B1 (ko) 2013-04-15
EP1918970B1 (en) 2016-09-21
EP1918970A1 (en) 2008-05-07
CN101174107B (zh) 2012-02-22
JP5248839B2 (ja) 2013-07-31
US8568553B2 (en) 2013-10-29
JP2008113008A (ja) 2008-05-15

Similar Documents

Publication Publication Date Title
KR100984422B1 (ko) 포토마스크 플라즈마 에칭 방법 및 장치
KR100951971B1 (ko) 포토마스크 플라즈마 에칭 방법 및 장치
JP5989608B2 (ja) フォトマスクプラズマエッチングの為の方法および装置
KR100958757B1 (ko) 플라즈마 반응기 부품을 제조하기 위한 방법 및 장치
WO2002031859A9 (en) Stepped upper electrode for plasma processing uniformity
KR20110050438A (ko) 포토마스크 플라즈마 에칭시 인시츄 건식 세정을 위한 방법 및 장치
KR100823949B1 (ko) 포토마스크 플라즈마 에칭 방법 및 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140828

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180903

Year of fee payment: 9