KR100951971B1 - 포토마스크 플라즈마 에칭 방법 및 장치 - Google Patents

포토마스크 플라즈마 에칭 방법 및 장치 Download PDF

Info

Publication number
KR100951971B1
KR100951971B1 KR1020070086473A KR20070086473A KR100951971B1 KR 100951971 B1 KR100951971 B1 KR 100951971B1 KR 1020070086473 A KR1020070086473 A KR 1020070086473A KR 20070086473 A KR20070086473 A KR 20070086473A KR 100951971 B1 KR100951971 B1 KR 100951971B1
Authority
KR
South Korea
Prior art keywords
zone
zones
plate
photomask
different
Prior art date
Application number
KR1020070086473A
Other languages
English (en)
Other versions
KR20080039203A (ko
Inventor
아제이 쿠마
마드하비 알. 찬드라츄드
리차드 레윙톤
다린 비벤스
아미타브흐 사브하월
시바 제이. 파나일
알란 히로시 오우예
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080039203A publication Critical patent/KR20080039203A/ko
Application granted granted Critical
Publication of KR100951971B1 publication Critical patent/KR100951971B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

포토마스크를 에칭하는 방법 및 장치가 제공된다. 상기 장치는 기판 지지체 위에 차폐물을 갖는 프로세스 챔버를 포함한다. 차폐물은 개구부를 갖는 플레이트를 포함하며, 플레이트는 서로 상이한 물질 또는 전위 바이어스와 같은 적어도 하나의 특성을 갖는 2개의 구역을 포함한다. 상기 방법은 차폐물을 통과하는 이온 및 중성 종의 분포로 포토마스크 기판을 에칭하도록 제공된다.

Description

포토마스크 플라즈마 에칭 방법 및 장치{METHOD AND APPARATUS FOR PHOTOMASK PLASMA ETCHING}
본 발명의 실시예들은 전반적으로 플라즈마 포토마스크 에칭을 위한 방법 및 장치에 관한 것으로, 보다 상세하게는 플라즈마 종 분포의 개선된 제어를 제공하는 방법 및 장치에 관한 것이다.
통상적으로 마이크로전자공학 또는 집적회로 장치들의 제조는 반도체, 유전체 및 도체 기판 상에서 수행되는 수백개의 개별적인 단계들을 요구하는 복잡한 프로세스 시퀀스를 수반한다. 이러한 프로세스 단계들의 일례로는 산화, 확산, 이온 주입, 박막 증착, 세정, 에칭 및 리소그래피를 포함한다. 리소그래피 및 에칭(패턴 전사 단계로도 불림)의 사용으로, 원하는 패턴이 예를 들어, 포토레지스트와 같은 광감성 물질층으로 먼저 전사된 다음 순차적 에칭 동안 하부에 놓인 물질층으로 전사된다. 리소그래피 단계에서, 블랭킷 포토레지스트층은 패턴 이미지가 포토레지스트에 형성되도록 패턴을 포함하는 레티클 또는 포토마스크를 통해 방사원에 노출된다. 적절한 화학적 용액에서 포토레지스트를 현상함으로써, 포토레지스트의 부분들이 제거되고 패터닝된 포토레지스트층이 형성된다. 마스크로 작용하는 이러 한 포토레지스트 패턴으로, 하부에 놓인 물질층은 예를 들어, 습식 또는 건식 에칭을 이용하여 반응성 환경에 노출되어, 하부에 놓인 물질층으로 패턴이 전사된다.
유리 또는 석영 기판 상에서 지지되는 금속-함유층에 형성되는, 포토마스크 상의 패턴은 포토레지스트 패턴의 에칭에 의해 생성된다. 그러나 이 경우, 포토레지스트 패턴은 레티클을 통해 포토레지스트를 노출시키는 것과는 대조적으로, 예를 들어, 전자 빔 또는 다른 적절한 방사 빔을 이용하는 직접 기록 기술에 의해 생성된다. 마스크로서 패터닝된 포토레지스트를 이용하여, 패턴은 플라즈마 에칭을 이용하여 하부에 놓인 금속-함유층으로 전사될 수 있다. 개선된 장치 제조의 사용에 적합한 상업적으로 이용가능한 포토마스크 에칭 장비로는 캘리포니아, 산타클라라의 어플라이드 머티리얼스사로부터 입수가능한 테트라TM 포토마스크 에칭 시스템이 있다. "마스크", "포토마스크" 또는 "레티클"이란 용어는 일반적으로 패턴을 포함하는 기판을 나타내는데 상호교환되어 사용된다.
계속 감소추세에 있는 장치 치수에 따라, 개선된 기술에 대한 포토마스크의 설계 및 제조는 점차적으로 복잡해졌으며, 임계치수의 제어 및 프로세스 균일성이 보다 중요해졌다. 따라서, 포토마스크 제조에서 개선된 프로세스 모니터 및 제어에 대한 요구조건이 지속되고 있다.
본 발명은 전반적으로 포토마스크를 에칭하는 방법 및 장치를 제공한다. 본 발명의 일 실시예는 플라즈마 에칭을 위한 장치를 제공하며, 상기 장치는 프로세스 챔버, 프로세스 챔버에 배치되며 포토마스크를 수용하도록 구성된 기판 페데스탈, 챔버 내에 플라즈마를 형성하는 RF 전력원, 페데스탈 상의 챔버에 배치되는 차폐물을 포함하며, 상기 차폐물은 다수의 개구부를 가지는 페데스탈을 포함하며 페데스탈을 통과하는 하전된 중성 종의 분포를 제어하도록 구성되며, 상기 페데스탈은 서로 상이한 물질 또는 전위 바이어스와 같은 적어도 하나의 특성을 갖는 2개의 구역을 포함한다.
본 발명의 또 다른 실시예는 포토마스크를 에칭하는 방법을 제공한다. 상기 방법은 지지 페데스탈을 갖는 프로세스 챔버를 제공하는 단계; 지지 페데스탈 상에 차폐물을 제공하는 단계 - 상기 차폐물은 각각 다수의 개구부를 포함하는 제 1 구역 및 제 2 구역을 가지는 플레이트를 포함하며 플레이트를 통과하는 하전된 중성 종의 분포를 제어하도록 구성되며, 상기 제 1 구역은 제 2 구역과 상이한 물질 또는 전위 바이어스와 같은 적어도 하나의 특성을 가짐 - ; 페데스탈 상에 포토마스크를 위치시키는 단계; 프로세스 챔버로 프로세스 가스를 주입하는 단계; 프로세스 가스로부터 플라즈마를 형성하는 단계; 및 플레이트를 통과하는 이온 및 중성 종들로 포토마스크를 에칭하는 단계를 포함한다.
본 발명의 또 다른 실시예는 플라즈마 에칭 장치를 제공한다. 상기 장치는 프로세스 챔버, 프로세스 챔버에 배치되며 포토마스크를 수용하도록 구성된 지지 페데스탈, 챔버 내에 플라즈마를 형성하는 RF 전력원, 및 페데스탈 상의 챔버내에 배치된 차폐물을 포함하며, 상기 차폐물은 다수의 개구부를 가지는 플레이트를 포함하며 플레이트를 통과하는 하전된 중성 종의 분포를 제어하도록 구성되며, 상기 플레이트는 4 이상의 유전 상수를 갖는 물질 및 이트리아로 구성된 그룹에서 선택된 물질을 포함한다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다. 그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다는 것을 주지해야 한다.
발명의 이해를 돕기 위해 도면에서 공통되는 동일한 부재들을 나타내는데 가능한 동일한 참조번호를 사용했다. 일 실시예의 부재들 및 특징들은 추가 언급없이도 다른 실시예에 바람직하게 적용될 수 있다.
그러나 첨부되는 도면은 단지 본 발명의 일례를 나타내는 것으로 본 발명의 범주를 제한하고자 하는 것은 아니며 다른 유효 실시예가 허용될 수 있다.
본 발명은 포토마스크 기판의 에칭을 개선하는 방법 및 장치를 제공한다. 특히, 본 발명은 플라즈마 에칭 챔버에서 하전된 중성 종의 공간 분포를 제어하기 위한 개선된 차폐물에 관한 것이다. 또한 차폐물은 이온-라디칼 또는 이온-중성 차폐물로도 간주된다.
플라즈마 에칭 챔버에 사용되는 이온-라디칼 차폐물의 일례는 쿠마르 등에 의해 2004년 6월 30일자로 출원된 "METHOD AND APPARATUS FOR PHOTOMASK PLASMA ETCHING"이란 명칭의 미국 특허 출원 S/N 10/880,754호에 이미 공개되어 있다.
도 1은 이온-라디칼 차폐물(170)을 갖는 에칭 반응기(100)의 개략도를 나타낸다. 본 명세서에 개시된 내용을 사용하기에 적합한 반응기로는 예를 들어, 분리 플라즈마 소스(DPS
Figure 112007062519183-pat00001
)Ⅱ 반응기, 또는 테트라Ⅰ 및 테트라Ⅱ 포토마스크 에칭 시스템이 포함되며, 이들 모두는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수가능하다. 본 명세서에 도시된 반응기(100)의 특정 실시예는 설명을 위해 제공되는 것으로 본 발명의 범주를 제한하고자 하는 것은 아니다. 본 발명은 다른 제조자로부터의 챔버를 포함하는, 다른 플라즈마 프로세싱 챔버에서 이용될 수 있다.
일반적으로 반응기(100)는 전도성 바디(챔버 벽(104))내의 기판 지지 페데스탈(124)을 갖는 프로세스 챔버(102) 및 제어기(146)를 포함한다. 프로세스 챔버(102)는 실질적으로 평탄한 유전체 실링 또는 리드(108)를 갖는다. 프로세스 챔버(102)의 다른 변형으로 예를 들어 돔형상 실링과 같은 다른 형태의 실링을 포함할 수 있다. 안테나(110)는 실링(108) 위에 배치되며 선택적으로 제어될 수 있는 하나 이상의 유도성 코일 부재(도 1에는 2개의 동축 부재(110a, 110b)가 도시됨)를 포함한다. 안테나(110)는 제 1 매칭 네트워크(114)를 통해 통상적으로 약 50kHz 내지 약 13.56MHz 범위의 동조가능한 주파수에서 약 3000W에 이르는 전력을 생성할 수 있는 플라즈마 전력원(112)과 결합된다.
기판 지지 페데스탈(캐소드)(124)은 제 2 매칭 네트워크(142)를 통해 바이어싱 전력원(140)과 결합된다. 일반적으로 바이어싱 전력원(140)은 연속형 또는 펄스형 전력을 생성할 수 있는 대략 13.56MHz 주파수에서 약 500W에 이르는 소스이 다. 선택적으로 바이어싱 전력원(140)은 DC 또는 펄스형 DC 소스일 수 있다.
일 실시예에서, 기판 지지 페데스탈(124)은 적어도 하나의 클램핑 전극(132)을 가지며 척 전력 공급부(166)에 의해 제어되는 정전기 척(160)을 포함한다. 선택적 실시예에서, 기판 지지 페데스탈(124)은 서셉터 클램프 링, 기계적 척 등과 같은 기판 보유 메커니즘을 포함할 수 있다.
레티클 어댑터(182)는 기판 지지 페데스탈(124) 상에 기판(예를 들어, 마스크 또는 레티클)을 고정하는데 이용된다. 일반적으로 레티클 어댑터(182)는 기판 지지 페데스탈(124)(예를 들어, 정전기 척(160))의 상부 표면을 커버하는 하부 부분(184)과 기판(122)을 보유는 크기 및 형상인 개구부(188)를 갖는 상부 부분(186)을 포함한다. 일반적으로 개구부(188)는 기판 지지 페데스탈(124)을 기준으로 실질적으로 중심설정된다. 일반적으로 어댑터(182)는 에칭을 견디고, 폴리이미드 세라믹 또는 석영과 같이 고온을 견디는 물질의 단일 부품으로 형성된다. 에지 링(126)은 기판 지지 페데스탈(124)에 어댑터(182)를 커버 및/또는 고정할 수 있다.
승강 메커니즘(138)은 어댑터(182) 및 기판(122)을 기판 지지 페데스탈(124)의 위 또는 아래로 하강 또는 승강시키기 위해 이용된다. 일반적으로, 승강 메커니즘(138)은 각각의 가이드 홀(136)을 통해 이동하는 다수의 리프트 핀(130)(하나의 리프트 핀이 도시됨)을 포함한다.
동작시, 기판(122)이 온도는 기판 지지 페데스탈(124)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 기판 지지 페데스탈(124)은 저항성 히터(144) 및 히트 싱크(128)를 포함한다. 일반적으로 저항성 히터(144)는 적어도 하나의 가열 부재(134)를 포함하며 히터 전력 공급부(168)에 의해 조절된다. 가스 소스(156)로부터의 헬륨(He)과 같은 후방 가스가 기판 지지 페데스탈(124)과 기판(122) 사이의 열 전달이 용이하도록 기판(122) 아래에 형성된 채널들로 가스 도관(158)을 통해 제공된다. 프로세싱 동안, 기판 지지 페데스탈(124)은 후방 가스와 조합되어 기판(122)의 균일한 가열을 조장하는 정상-상태 온도로 저항성 히터(144)에 의해 가열될 수 있다. 이러한 열적 제어를 이용하여, 기판(122)은 섭씨 약 0 내지 350℃ 사이의 온도로 유지될 수 있다.
이온-라디칼 차폐물(170)은 기판 지지 페데스탈(124) 위의 프로세스 챔버(102)에 배치된다. 이온 라디칼 차폐물(170)은 플레이트로부터 접지로의 접지가 제공되지 않도록, 챔버 벽(104)과 기판 지지 페데스탈(124)로부터 전기적으로 접지된다. 이온-라디칼 차폐물(170)의 일 실시예는 실질적으로 평탄한 플레이트(172) 및 플레이트(172)를 지지하는 다수의 레그(176)를 포함한다. 프로세스 요구조건들과 호환되는 다양한 물질들로 구성될 수 있는 플레이트(172)는 플레이트(172) 내에 원하는 개구 면적을 한정하는 하나 이상의 개구부(구멍)를 포함한다. 이러한 개구 면적은 프로세스 챔버(102)의 상부 프로세스 볼륨(178)에 형성된 플라즈마로부터 이온-라디칼 차폐물(170)과 기판(122) 사이에 위치된 하부 프로세스 볼륨(180)으로 통과하는 이온의 양을 조절한다. 개구 면적이 클수록, 보다 많은 이온이 이온-라디칼 차폐물(170)을 통과할 수 있다. 이처럼, 구멍(174)의 크기는 볼륨(180)내의 이온 밀도를 조절하며, 차폐물(170)은 이온 필터로서 작용한다. 플레이트(172)는 스크린 또는 메쉬를 포함할 수 있으며, 스크린 또는 메쉬의 개구 면적은 구멍(174)에 의해 제공되는 원하는 개구 면적에 해당한다. 선택적으로, 플레이트와 스크린 또는 메쉬의 조합이 이용될 수 있다.
프로세싱 동안, 플라즈마로부터 전자 충돌의 결과로서 플레이트(172)의 표면상에 전위가 전개된다. 전위는 플라즈마로부터 이온들을 끌어당겨, 플라즈마로부터 이온들을 효과적으로 필터링하면서, 예를 들어, 라디칼과 같은 중성 종이 플레이트(172)의 구멍(174)을 통과하게 한다. 따라서, 이온-라디칼 차폐물(170)을 통과하는 이온의 양을 감소시킴으로써, 중성 종 또는 라디칼에 의한 마스크 에칭은 보다 제어된 방식으로 처리될 수 있다. 이는 레지스트의 부식 뿐만 아니라 패터닝된 물질층의 측벽 상에서 레지스트의 스퍼터링을 감소시켜, 결과적으로 에칭 바이스 및 임계치수 균일성이 개선된다.
본 발명은 선택적인 물질 및 구성을 포함하여, 이온-라디칼 차폐물(170)에 대한 다양한 환경을 제공한다. 예를 들어, 플레이트(172)를 위해 석영 또는 세라믹을 사용하는 것이 양극산화된 알루미늄을 사용하는 것보다 바람직할 수 있으며, 이는 알루미늄 플레이트에서는 와전류가 형성되어 프로세스 균일성에 악영향을 미치는 것으로 여겨지기 때문이다. 또 다른 실시예에서, 플레이트(172)는 약 4 이상의 유전 상수를 갖는 물질로 형성된다. 이러한 물질들의 예로는 세라믹, 예를 들어, 알루미나, 이트리아(이트리아 산화물), 및 K140(Kyocera로 부터 입수가능한 전매 물질) 등이 포함된다.
본 발명의 또 다른 실시예에 따라, 이온-라디칼 차폐물(170)은 서로 상이한 적어도 하나의 특성을 갖는 2개의 구역 또는 세그먼트를 포함한다. 예를 들어, 차 폐물은 다양한 기하학구조(예를 들어, 크기, 형상 및 개구 면적)를 포함하여 상이한 구성을 갖는 다수의 구역을 포함할 수 있으며, 상기 구역은 동일한 또는 상이한 물질들로 구성되거나 또는 상이한 전위 바이어스를 갖도록 구성될 수 있다. 구역 구성, 물질 및/또는 전위 바이어스의 조합을 제공함으로써, 플라즈마의 이온 및 중성자들의 공간적 분포가 국한된 방식으로 변형될 수 있어, 에칭 균일성과 같은 프로세스 특성의 고객화(customization), 또는 국부적으로 강화된 또는 감소된 에칭률(마스크의 상이한 부분들에서 상이한 패턴 밀도로 조절되도록) 등이 허용된다. 예를 들어, 이러한 다중-구역 차폐물은 플라즈마 종 분포의 활성적 제어를 위해 사용될 수 있고 강화된 프로세스 제어를 허용한다.
일 실시예에서, 차폐물의 적어도 2개의 구역은 상이한 유전 상수를 가지는 상이한 물질로 형성된다. 적절한 물질로는 다양한 세라믹(예를 들어, 알루미나, 이트리아), 양극산화된 알루미늄, 석영, 약 4 보다 높은 유전 상수를 가지는 물질, 예를 들어 Kyocera로부터 입수가능한 K140 및 프로세스 케미스트리와 호환되는 다른 물질들이 포함된다. 또 다른 실시예에서, 차폐물은 주로 하나의 물질로 형성되나, 서로 물리적으로 분리된 또는 전기적으로 절연된 상이한 구역 또는 세그먼트로 분할된다. 이러한 구역들은 각각 상이한 전위로 독립적으로 바이어스될 수 있도록 구성된다. 선택적으로, 차폐물은 상이한 기하학구조 또는 공간적 구성, 물질 및/또는 전위 바이어스의 조합을 갖는 다수의 구역을 포함한다.
도 2a는 본 발명의 차폐물(170)의 일 실시예를 위에서 본 개략도이다. 본 실시예에서, 차폐물(170)은 다수의 구멍(174) 및 다수의 레그(176)를 가지는 플레 이트(172)를 포함한다. 플레이트(172)는 상이한 구역 또는 세그먼트(172A, 172B, 172C, 172D)로 분할된다. 적어도 2개의 구역은 세라믹(예를 들어, 알루미나 또는 이트리아), 석영, 양극산화된 알루미늄과 같은 상이한 물질 또는 프로세스 케미스트리와 호환되는 다른 물질로 형성된다. 상이한 유전 상수를 갖는 물질의 사용은 플라즈마 특성 및 에칭 결과의 조절을 가능케한다. 예를 들어, 높은 유전 상수의 물질로 구성된 구역은 낮은 유전 상수 물질로 형성된 다른 구역에 비해 높은 전하 충전이 야기시킨다. 따라서, 보다 많은 이온이 낮은 유전 상수 물질을 갖는 구역을 통과하게 된다.
선택적으로, 모든 구역들이 동일한 물질로 형성될 수도 있고 인접한 구역들은 도 2b에 도시된 것처럼 갭(172G) 또는 절연 물질에 의해 서로 분리될 수 있다. 갭을 갖는 구성에서, 인접한 구역들은 부가적으로 각각의 레그에 의해 지지되는 기계적 안정성을 제공하기 위해 하나 이상의 탭(173)(구역들과 상이한 물질로 형성)에 의해 선택적으로 접속될 수 있다. 또한, 하나 이상의 구역(172A, 172B, 172C, 172D)은 각각의 구역에 대해 독립적으로 제어될 수 있는 전위 바이어스를 공급하기 위해 각각의 전력원에 접속될 수 있다. 예를 들어, 구역(172A)은 구역(172B)으로부터 상이한 전위로 전력원(190A)에 의해 바이어스될 수 있다. 전력원 접속부는 도 2c에 도시되며, 이는 레그들(176)에 의해 기판 지지 페데스탈(124)과 결합된 구역(172A)의 단면도를 나타낸다. 전력원(190A)으로부터의 전기적 접속은 레그들(176)로부터 구역(172A)에 제공된다. 일반적으로, 포지티브 또는 네거티브 바이어스 전압이 하나 이상의 구역에 인가될 수 있다. 일 실시예에서, 약 0볼트 내지 약 500볼트의 DC 바이어스 전압이 이용될 수 있다. 또 다른 실시예에서, 약 0볼트 내지 약 100볼트, 또는 약 0볼트 내지 약 20볼트의 DC 바이어스 전압이 이용될 수 있다.
예를 들어, 포지티브 DC 바이어스 전압이 구역(172A)에 인가되고, 인접한 구역들(172B, 172D)은 바이어스되지 않는(예를 들어, 플로팅) 경우, 구역(172A)으로부터 방출된 포지티브 이온들은 구역(172B, 172D) 부근에 축적된다. 구역(172B, 172D) 부근의 전자들로부터 축적된 네거티브 전위에 의해 중성화되지 않는다면, 과도한 양의 포지티브 이온들은 상기 구역에 있는 구멍(174)을 통과하여 기판(122)의 에칭에 기여하게 된다. 따라서, 플레이트(172) 상의 하나 이상의 구역을 선택적으로 바이어싱함으로써, 이온-중성 차폐물(170)을 통과하는 이온들의 분포는 제어된 방식으로 변형되어, 결과적으로 에칭 결과에 상응하는 변화가 야기된다.
또 다른 실시예에서, 플레이트(172)는 플레이트(172) 상의 2개 위치에 대해 인가되는 전위 바이어스를 갖는 하나의 물질을 포함한다. 본 실시예에서는, 위치(172X, 172Y) 부근의 상이한 전위 바이어스의 2개의 구역들 사이에 갭 또는 물리적 분리가 없다. 이는 도 2d에 도시되어 있고, 여기서 2개의 전압원(190C, 190D)은 위치(172X, 172Y) 사이에 전위 변화를 제공하도록 접속된다. 일반적으로, 전위 변화는 플레이트(172) 상의 임의의 2개 위치에 대해 설정될 수 있다. 도 2d의 실시예에서, 위치(172X, 172Y)는 플레이트 중심부의 대향 측면 상에서, 주변부 부근의 직경(DD')을 따라 배치된다. 또 다른 실시예에서, 전위 변화는 플레이트(172)의 중심부 부근의 제 1 위치와 플레이트(172)의 주변부 부근의 제 2 위치 사이에서 적용된다. 플레이트(172)의 구멍(174)을 통과하는 플라즈마의 이온 및 중성 종의 분포는 전위 변화에 의해 변경된다.
도 3a-b는 차폐물(170)의 2개 실시예를 위에서 본 개략도로, 다양한 배치, 예를 들어 동심 링(도 3a)으로서 172A, 172B와 그리드 또는 슬라이스 패턴(도 3b)의 172A, 172B, 172C, 172D, 172E 및 172F의 상이한 구역을 나타낸다. 예를 들어, 동심 링 구성은 챔버의 불균일 가스 흐름 패턴에서 야기될 수 있는 에칭 불균일성(방사상 방향)을 보상하는데 유용할 수 있다.
각각의 실시예는 서로 조합되어 사용될 수 있다. 예를 들어 단일 물질로 구성되든지 또는 상이한 물질로 구성되든지 간에, 플레이트는 상이한 구역 구성을 포함하거나, 또는 플레이트에 대한 상이한 전위 바이어스가 공급될 수 있다.
또한, 플레이트(172)의 상이한 구역에서 구멍(174)은 크기, 공간 및 기하학적 배열이 변경될 수 있다. 구멍(174)은 일반적으로 0.03인치(0.07cm) 내지 약 3인치(7.62cm) 범위의 치수를 가지며, 플레이트(172)의 각각의 구역내에 약 2 퍼센트 내지 약 90 퍼센트로 개구 면적을 한정하도록 배열된다. 구멍(174)은 다른 기하학구조 또는 불규칙 패턴 및 다양한 치수로 배열될 수 있다. 구멍(174)의 크기, 형상 및 패터닝은 하부 프로세스 볼륨(180)에서의 원하는 이온 밀도에 따라 변할 수 있다. 예를 들어, 플레이트(172)의 특정 구역에서 작은 직경의 많은 구멍들은 볼륨(180)의 해당 영역에서의 이온 밀도 비율로 라디칼(또는 중성자) 대 이온 밀도 비율을 증가시키는데 이용된다. 선택적으로, 보다 많은 구멍들이 플레이트(172)의 구역내에서 작은 구멍으로 분산되어 볼륨(180)의 해당 영역에서의 이온 대 라디칼(또는 중성자) 밀도 비율을 증가시킬 수 있다.
도 2-3에 도시된 예는 주로 도시를 목적으로 한 것이며, 프로세스 특성이 특정 요구조건에 적합하도록 개별화될 수 있도록, 특정 마스크 패턴으로 조절되도록 설계된 구역 구성(예를 들어, 크기, 형상, 개구 면적, 물질 및/또는 바이어스)의 조합을 포함하는 다수의 상이한 변형들이 가능하다는 것을 인식할 수 있을 것이다. 예를 들어, 마스크가 상이한 패턴 밀도 또는 로딩 영역들을 갖는 경우, 이들 영역에 대해 요구되는 에칭률을 서로 상이할 수 있다. 이 경우, 원하는 에칭 결과를 달성하기 위해 특정 마스크 패턴을 기초로 차폐물 플레이트(172) 상에 구역 또는 세그먼트를 구성하는 것이 가능하다. 도 4는 구역(172A, 172B)로 나뉜 플레이트(172)의 일 실시예를 상부에서 본 것으로, 구역(172A, 172B)의 공간적 구성은 상이한 패턴 밀도를 갖는 마스크 상에서의 개별 영역들에 해당하거나 또는 상기 개별 영역들과 상관된다. 예를 들어, 구역(172A)이 마스크의 레스트(rest) 보다 상대적으로 높은 에칭률을 요구하는 마스크 상의 영역들에 해당하는 경우, 구역(172A)은 이온 대 중성 종의 높은 비율을 제공하도록 큰 직경의 개구가 제공될 수 있다. 서택적으로, 구역(172A, 172B)은 구역(172A)을 통과하는 이온의 양(또는 이온 대 중성자 비율)이 구역(172B)에 비해 증가할 수 있도록, 상이한 유전 상수 및/또는 상이한 바이어스를 갖는 물질로 구성될 수 있다. 상이한 유전 상수 및/또는 상이한 바이어스를 갖는 물질로 구성된 구역을 제공함으로써, 구멍 크기만을 변경하여 얻어지는 것에 비해 이온 대 중성 종 비율의 보다 나은 제어가 달성될 수 있다.
이온-라디칼 차폐물(170)이 지지되는 높이는 에칭 프로세스 제어가 조장되도록 변할 수 있다. 이온-라디칼 차폐물이 실링(108)과 가깝게 위치될수록, 상부 프로세스 볼륨(178)은 작아져, 보다 안정된 플라즈마가 조장된다. 일 실시예에서, 이온-라디칼 차폐물(170)은 실링(108)으로부터 약 1인치(2.54cm)에 위치된다. 보다 빠른 에칭률은 이온-라디칼 차폐물(170)을 기판 지지 페데스탈(124) 및 기판(122)에 보다 가깝게 위치시킴으로써 얻어질 수 있다. 선택적으로, 보다 제어되기는 하지만 낮은 에칭률은 기판 지지 페데스탈(124)로부터 이온-라디칼 차폐물(170)을 보다 멀리 위치시킴으로써 달성될 수 있다. 따라서 이온-라디칼 차폐물(170)의 높이를 조절함으로써 에칭률을 제어하여 보다 개선된 임계 치수 균일성 및 감소된 에칭 바이어스를 갖는 균형적인 빠른 에칭률이 허용된다. 일 실시예에서, 이온-라디칼 차폐물(170)은 기판 지지 페데스탈(124)로부터 약 2인치(5cm)에 배치된다. 이온-라디칼 차폐물(170)이 높이는 기판(122)과 실링(108) 간에 약 6인치(15.24cm)의 간격을 갖는 챔버에서 약 1.5인치(3.81cm) 내지 약 4인치(10.16cm) 범위일 수 있다. 이온-라디칼 차폐물(170)은 상이한 기하학적 크기를 가지는 챔버들, 예를 들면 보다 큰 챔버 또는 보다 작은 챔버에서 상이한 높이에 위치될 수 있다.
일반적으로 기판(122)을 기준으로 이격된 관계로 플레이트(172)를 지지하는 레그들(176)은 일반적으로 기판 지지 페데스탈(124) 또는 에지 링(126)의 외부 주변부 부근에 위치되며 플레이트(172)와 동일한 물질로 제조될 수 있다. 일 실시예에서, 이온-라디칼 차폐물(170)을 지지하는데는 3개의 레그(176)가 이용된다. 일반적으로 레그들(176)은 기판(122) 또는 기판 지지 페데스탈(124)을 기준으로 실질 적으로 평행한 배향으로 플레이트(172)를 유지하지만, 가변 길이의 레그들(176)을 가짐으로써 각진 배향이 이용될 수 있다.
레그들(176)은 플레이트(172)에 형성된 해당 홀들로 레그들의 상단부에 끼워맞춰지거나, 또는 플레이트(172) 또는 플레이트(172)의 밑면에 고정된 브래켓(bracket) 속에 장착될 수 있다(threaded). 레그들(176)은 기판 지지 페데스탈(124), 어댑터(182), 또는 에지 링(126) 상에 위치되거나, 또는 기판 지지 페데스탈(124), 어댑터(182), 또는 에지 링(126)에 형성된 수용 홀들(미도시)로 연장될 수 있다. 특히 나사결합(screwing), 볼트결합(bolting), 본드결합(bonding)과 같이 프로세싱 조건과 일치하는 다른 종래의 고정 방법들도 사용될 수 있다. 에지 링(126)이 고정될 때, 이온-라디칼 차폐물(179)은 사용, 유지보수, 교체 등이 용이하도록 쉽게-교체가능한 프로세스 키트의 일부일 수 있다. 이온-라디칼 차폐물(170)은 현재 프로세스 챔버에서 쉽게 개조되도록 구성될 수 있다.
선택적으로, 플레이트(172)는 챔버 벽(104) 또는 프로세스 챔버(102) 내의 다른 구조물에 부착된 브래켓(미도시)을 사용하는 것과 같은 다른 방식으로 기판 지지 페데스탈(124) 상에서 지지된다. 플레이트(172)가 챔버 벽(104) 또는 프로세스 챔버(102)의 다른 구조물에 부착될 때, 플레이트(172)는 일반적으로 접지(106)와 같은 임의의 접지 경로로부터 절연된다.
플라즈마 에칭 이전에, 하나 이상의 프로세스 가스가 예를 들어, 기판 지지 페데스탈(124) 위에 배치된 하나 이상의 입구(116)(예를 들어, 개구부, 인젝터, 노즐 등)을 통해, 가스 패널(120)로부터 프로세스 챔버(102)로 제공된다. 도 1의 실 시예에서, 프로세스 가스는 챔버 벽(104)에 결합된 가스 링(미도시) 또는 챔버 벽(104)에 형성될 수 있는 환형 가스 채널(118)을 사용하여 입구들(116)에 제공된다. 에칭 프로세스 동안, 프로세스 가스들은 플라즈마 전력원(112)으로부터 안테나(110)로 전력을 인가함으로써 플라즈마로 점화된다.
프로세스 챔버(102)의 압력은 트로틀 밸브(162) 및 진공 펌프(164)를 사용하여 제어된다. 챔버 벽(104)의 온도는 챔버 벽(104)을 통해 연장되는 액체-함유 도관들(미도시)을 사용하여 제어될 수 있다. 통상적으로, 챔버 벽(104)은 금속(예를 들어, 특히 알루미늄, 스테인레스 스틸)으로 형성되며 전기적 접지(106)에 결합된다. 또한 프로세스 챔버(102)는 프로세스 제어, 내부 진단, 엔드 포인트 결정 등을 위한 종래의 시스템들을 포함한다. 이러한 시스템을 총체적으로 지지 시스템(154)으로 도시했다.
제어기(146)는 중앙 처리 장치(CPU)(150), 메모리(148), 및 CPU용 지지 회로(152)를 포함하며 프로세스 챔버(102)의 부품들 및 하기에 보다 상세히 설명되는 에칭 프로세스의 부품들의 제어를 조장한다. 제어기(146)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위한 산업적 셋팅(setting)에서 이용될 수 있는 임의의 형태의 범용성 컴퓨터 프로세서일 수 있다. CPU(150)의 메모리, 또는 컴퓨터-판독가능 매체(642)는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드디스크, 또는 디지털 저장기, 로컬 또는 리모트와 같이 쉽게 입수가능한 하나 이상의 메모리일 수 있다. 지지 회로(152)는 종래의 방식으로 프로세서를 지지하기 위해 CPU(150)에 결합된다. 이러한 회로들로는 캐쉬, 전력원들, 클록 회로 들, 입/출력 회로 및 서브시스템 등이 포함된다. 본 발명의 방법은 일반적으로 소프트웨어 루틴으로서 메모리(148)에 저장된다. 선택적으로, 이러한 소프트웨어 루틴은 CPU(150)에 의해 제어되는 하드웨어로부터 원격적으로 위치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
도 5는 본 발명의 실시예들에 따라 이온-라디칼 차폐물을 갖는 에칭 챔버에서 포토마스크 기판을 에칭하는 방법(500)을 나타낸다. 방법(500)은 기판이 프로세스 챔버에 있는 이온-라디칼 차폐물 아래에서 기판 지지 페데스탈 상에 위치 되는 단계(502)에서 시작된다. 차폐물은 예를 들어 물질 또는 전이 바이어스와 같이 서로 상이한 적어도 하나의 특성을 갖는 2개의 구역을 포함한다. 일반적으로 전형적인 기판은 석영 표면 상에 배치된 불투명한 광-차단층을 가지는 석영(즉, 실리콘 이산화물, SiO2)과 같은 광학적으로 투과성있는 실리콘계 물질을 포함한다. 포토마스크에 사용되는 전형적인 금속으로는 크롬 또는 크롬 산질화물이 포함된다. 또한 기판은 석영과 크롬 사이에 삽입된 몰리브덴(Mo)으로 도핑된 실리콘질화물(SiN)층을 포함할 수 있다.
단계(504)에서, 하나 이상의 프로세스 가스가 가스 입구를 통해 프로세스 챔버로 주입된다. 예시적인 프로세스 가스로는 금속층을 에칭하기 위해 일산화탄소(CO)와 같은 산소(O2) 또는 산소-함유 가스 및/또는 염소-함유 가스와 같은 할로겐-함유 가스를 포함할 수 있다. 프로세싱 가스는 불활성 가스 또는 다른 산소-함유 가스를 더 포함할 수 있다. 일산화탄소는 패터닝된 레지스트 물질 및 에칭된 금속층에 형성된 개구부들 및 패턴들의 표면, 특히 측벽 상에 패시베이팅 폴리머 증착물을 형성하는데 바람직하게 이용된다. 염소-함유 가스는 염소(Cl2), 사염화실리콘(SiCl4), 삼염화붕소(BCl3), 및 이들의 조합의 그룹에서 선택되며 금속층을 에칭하기 위해 반응성 라디칼을 공급하는데 사용된다.
예를 들어, Cl2는 10 내지 1000sccm의 유량으로 제공될 수 있으며, O2는 0 내지 1000sccm의 유량으로 제공될 수 있다. 5 내지 500W 사이의 기판 바이어스 전력이 정전기 척에 인가될 수 있고 기판은 약 150℃ 보다 낮은 온도로 유지된다. 프로세스 챔버의 압력은 약 1 내지 약 40mTorr 사이로 제어될 수 있다. 석영 또는 MoSi를 에칭하는 것과 같은 다른 실시예에서, 프로세스 가는 불소-함유 가스, 예를 들어 특히 트리플루오로메탄(CHF3), 테트라플루오로메탄(CF4)을 포함할 수 있다.
선택적으로, 단계(506)에서, DC 바이어스 전압은 이온-라디칼 차폐물의 적어도 하나의 구역에 인가된다. 단계(508)에서, 플라즈마는 예를 들어 플라즈마 전력원으로부터 안테나로 약 200 내지 약 2000W의 RF 전력을 인가함으로써, 이온-라디칼 차폐물 위의 프로세스 볼륨에서 하나 이상의 프로세스 가스로부터 형성된다. 플라즈마로부터의 이온 및 중성 종들은 이온-라디칼 차폐물에 대해 플라즈마 및 인가된 바이어스 전압에 의해 설정된 전위에 의해 결정된 분포 패턴에 따라 이온-라디칼 차폐물을 통과한다. 기판은 하부 프로세스 볼륨의 이온 및 중성 종에 의해 에칭된다.
지금까지 본 발명의 실시예에 관한 것이었지만, 본 발명의 다른 실시예들이 하기 본 발명의 특허청구범위에 의해 한정되는 본 발명의 기본 사상 및 개념을 이탈하지 않고 고안될 수 있다.
도 1은 차폐물을 갖는 에칭 반응기의 개략도.
도 2a-b는 도 1의 차폐물의 2개 실시예를 상부에서 본 개략도.
도 2c는 차폐물의 일부분의 개략적 단면도.
도 2d는 차폐물에 대한 전위 바이어스를 나타내는 일 실시예를 상부에서 본 개략도.
도 3a-b는 차폐물의 2개의 선택적 실시예를 상부에서 본 개략도.
도 4는 차폐물의 또 다른 실시예를 상부에서 본 개략도.
도 5는 본 발명의 일 실시예에 따라 포토마스크를 에칭하는 방법의 흐름도.

Claims (20)

  1. 플라즈마 에칭 장치로서,
    프로세스 챔버;
    상기 프로세스 챔버 내에 배치되는 지지 페데스탈 - 상기 지지 페데스탈의 상부에는 포토마스크가 수용됨 - ;
    상기 챔버 내에서 플라즈마를 형성하는 RF 전력원; 및
    상기 챔버내에서 상기 페데스탈 위로 배치되는 차폐물
    을 포함하며, 상기 차폐물은 다수의 구멍을 가지는 플레이트를 포함하며, 상기 플레이트는 2개의 구역을 포함하며, 상기 2개의 구역은 서로 상이한 적어도 하나의 특성을 가지며, 상기 적어도 하나의 특성은 물질 또는 전위 바이어스 중 하나인, 플라즈마 에칭 장치.
  2. 제 1 항에 있어서,
    상기 2개의 구역 각각은 서로 상이한 유전 상수를 갖는 물질을 포함하며, 상기 물질은 양극산화된 알루미늄, 세라믹, 알루미나, 이트리아, 및 4 이상의 유전 상수를 갖는 물질들로 이루어진 그룹에서 선택되는, 플라즈마 에칭 장치.
  3. 제 2 항에 있어서,
    상기 2개의 구역은 서로 상이한 2개의 개구 면적에 의해 특성화되며, 상기 2개의 개구 면적은 상기 다수의 구멍으로부터 하나 이상의 구멍들에 의해 한정되는, 플라즈마 에칭 장치.
  4. 제 1 항에 있어서,
    상기 2개의 구역은 각각 서로 상이한 전위 바이어스를 갖는, 플라즈마 에칭 장치.
  5. 삭제
  6. 제 4 항에 있어서,
    상기 2개의 구역은 물리적으로 서로 분리되는, 플라즈마 에칭 장치.
  7. 삭제
  8. 제 1 항에 있어서,
    상기 플레이트는 웨지, 동심 링 또는 그리드 패턴 중 적어도 하나로 배열되는 구역들을 포함하는, 플라즈마 에칭 장치.
  9. 제 1 항에 있어서,
    상기 2개의 구역은 상이한 패턴 밀도들에 의해 특성화되는 상기 포토마스크 상의 개별 영역들과 상관되는 공간 구성들을 갖는, 플라즈마 에칭 장치.
  10. 삭제
  11. 제 9 항에 있어서,
    상기 2개의 구역은 서로 상이한 전위 바이어스를 갖는, 플라즈마 에칭 장치.
  12. 포토마스크를 에칭하는 방법으로서,
    지지 페데스탈을 포함하는 프로세스 챔버를 제공하는 단계;
    상기 지지 페데스탈 위로 차폐물을 제공하는 단계 - 상기 차폐물은 각각 다수의 구멍을 포함하는 제 1 구역 및 제 2 구역을 갖는 플레이트를 포함하며, 상기 제 1 구역은 상기 제 2 구역과 상이한 물질 또는 전위 바이어스 중 적어도 하나를 가짐 - ;
    상기 페데스탈 상에 포토마스크를 위치시키는 단계;
    상기 프로세스 챔버로 프로세스 가스를 주입하는 단계;
    상기 프로세스 가스로부터 플라즈마를 형성하는 단계;
    상기 플레이트를 통과하는 이온 및 중성 종들로 상기 포토마스크를 에칭하는 단계
    를 포함하는, 포토마스크 에칭 방법.
  13. 제 12 항에 있어서,
    하나의 물질로 이루어진 제 1 구역을 제공하는 단계; 및
    상이한 물질로 이루어진 제 2 구역을 제공하는 단계
    를 더 포함하는, 포토마스크 에칭 방법.
  14. 제 12 항에 있어서,
    상기 제 1 구역과 상기 제 2 구역의 물질은 양극산화된 알루미늄, 세라믹, 알루미나, 이트리아, 및 4 이상의 유전 상수를 갖는 물질들로 이루어진 그룹에서 선택되는, 포토마스크 에칭 방법.
  15. 제 12 항에 있어서,
    상기 제 1 구역에 상기 제 2 구역과 상이한 전위 바이어스를 인가하는 단계를 더 포함하는, 포토마스크 에칭 방법.
  16. 제 12 항에 있어서,
    하나의 물질로 이루어진 플레이트를 제공하는 단계; 및
    상기 플레이트 상의 2개 위치에 대한 전위 변화를 설정하는 단계
    를 더 포함하는, 포토마스크 에칭 방법.
  17. 제 12 항에 있어서,
    상기 제 2 구역의 제 2 개구 면적과 상이한 제 1 개구 면적을 갖는 제 1 구역을 제공하는 단계를 더 포함하며, 상기 제 1 개구 면적 및 제 2 개구 면적은 각각의 구역에 있는 다수의 구멍에 의해 한정되는, 포토마스크 에칭 방법.
  18. 삭제
  19. 삭제
  20. 플라즈마 에칭 장치로서,
    프로세스 챔버;
    상기 프로세스 챔버에 배치되는 지지 페데스탈 - 상기 지지 페데스탈 상부에는 포토마스크가 수용됨 -;
    상기 챔버 내에 플라즈마를 형성하는 RF 전력원; 및
    상기 챔버내에서 상기 페데스탈 위로 배치되는 차폐물
    을 포함하며, 상기 차폐물은 다수의 구멍을 갖는 플레이트를 포함하며, 상기 플레이트는 2개의 구역을 포함하며, 상기 2개의 구역은 서로 상이한 적어도 하나의 특성을 가지며, 상기 적어도 하나의 특성은 물질 또는 전위 바이어스 중 하나이며, 상기 플레이트는 이트리아 및 4 이상의 유전 상수를 가지는 물질로 이루어진 그룹에서 선택된 물질을 포함하는, 플라즈마 에칭 장치.
KR1020070086473A 2006-10-30 2007-08-28 포토마스크 플라즈마 에칭 방법 및 장치 KR100951971B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/554,495 2006-10-30
US11/554,495 US7909961B2 (en) 2006-10-30 2006-10-30 Method and apparatus for photomask plasma etching

Publications (2)

Publication Number Publication Date
KR20080039203A KR20080039203A (ko) 2008-05-07
KR100951971B1 true KR100951971B1 (ko) 2010-04-08

Family

ID=38927418

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070086473A KR100951971B1 (ko) 2006-10-30 2007-08-28 포토마스크 플라즈마 에칭 방법 및 장치

Country Status (6)

Country Link
US (1) US7909961B2 (ko)
EP (1) EP1918971B1 (ko)
JP (1) JP5421527B2 (ko)
KR (1) KR100951971B1 (ko)
CN (2) CN101174106A (ko)
TW (1) TWI385709B (ko)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP2010524225A (ja) * 2007-04-02 2010-07-15 ソースル シーオー エルティディー 基板支持装置及びこれを備えるプラズマエッチング装置
US8329055B2 (en) * 2008-10-02 2012-12-11 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
US8834732B2 (en) * 2008-10-02 2014-09-16 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
CN107731648B (zh) * 2010-10-28 2020-02-14 应用材料公司 高纯度铝涂层硬阳极化
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP6046128B2 (ja) * 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
KR101963862B1 (ko) * 2011-05-31 2019-03-29 어플라이드 머티어리얼스, 인코포레이티드 에지, 측면 및 후면 보호를 갖는 건식 식각을 위한 장치 및 방법들
TW201308021A (zh) 2011-06-15 2013-02-16 Applied Materials Inc 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
JP5891687B2 (ja) * 2011-09-30 2016-03-23 凸版印刷株式会社 ドライエッチング装置、ドライエッチング方法、フォトマスク製造装置及びフォトマスク製造方法
CN103796413B (zh) * 2012-11-01 2017-05-03 中微半导体设备(上海)有限公司 等离子反应器及制作半导体基片的方法
US20130098552A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. E-beam plasma source with profiled e-beam extraction grid for uniform plasma generation
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US8993422B2 (en) * 2012-11-09 2015-03-31 Infineon Technologies Ag Process tools and methods of forming devices using process tools
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9186610B2 (en) 2013-03-12 2015-11-17 Camfil Usa, Inc. Roomside replaceable fan filter unit
US9443700B2 (en) 2013-03-12 2016-09-13 Applied Materials, Inc. Electron beam plasma source with segmented suppression electrode for uniform plasma generation
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9336997B2 (en) * 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20210343509A9 (en) * 2015-02-11 2021-11-04 Applied Materials, Inc. Conditioned semiconductor system parts
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
US20180168577A1 (en) 2016-12-21 2018-06-21 Ethicon Endo-Surgery, Llc Axially movable closure system arrangements for applying closure motions to jaws of surgical instruments
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11776793B2 (en) 2020-11-13 2023-10-03 Applied Materials, Inc. Plasma source with ceramic electrode plate
JP2022112423A (ja) * 2021-01-21 2022-08-02 東京エレクトロン株式会社 プラズマ処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050067405A (ko) * 2002-09-30 2005-07-01 동경 엘렉트론 주식회사 플라즈마 처리 시스템에서 개선된 배플판을 위한 장치 및방법
KR20060048674A (ko) * 2004-06-30 2006-05-18 어플라이드 머티어리얼스, 인코포레이티드 안정된 플라즈마 처리를 위한 방법 및 장치

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4496420A (en) 1984-04-06 1985-01-29 Bmc Industries, Inc. Process for plasma desmear etching of printed circuit boards and apparatus used therein
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JPS62299031A (ja) 1986-06-18 1987-12-26 Nec Corp 平行平板型エツチング装置の電極構造
JPH01239555A (ja) * 1988-03-22 1989-09-25 Rohm Co Ltd ガラスマスク
JPH07101685B2 (ja) 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
US5075256A (en) 1989-08-25 1991-12-24 Applied Materials, Inc. Process for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
JP2888258B2 (ja) 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JPH04240725A (ja) 1991-01-24 1992-08-28 Sumitomo Electric Ind Ltd エッチング方法
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP3257741B2 (ja) 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5673922A (en) 1995-03-13 1997-10-07 Applied Materials, Inc. Apparatus for centering substrates on support members
KR100197649B1 (ko) 1995-09-29 1999-06-15 김영환 박막 증착장치
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
JP3363040B2 (ja) * 1996-09-30 2003-01-07 株式会社荏原製作所 高速原子線源
EP0938596B1 (en) 1996-09-30 2003-11-19 Lam Research Corporation Apparatus for reducing polymer deposition on substrate support
JPH10270430A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6071372A (en) 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
JPH1167727A (ja) 1997-08-19 1999-03-09 Hitachi Ltd プラズマ処理装置及びその方法
US5972781A (en) 1997-09-30 1999-10-26 Siemens Aktiengesellschaft Method for producing semiconductor chips
EP1029099A2 (en) 1997-10-15 2000-08-23 Tokyo Electron Limited Apparatus and method for adjusting density distribution of a plasma
US6077404A (en) 1998-02-17 2000-06-20 Applied Material, Inc. Reflow chamber and process
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6261406B1 (en) 1999-01-11 2001-07-17 Lsi Logic Corporation Confinement device for use in dry etching of substrate surface and method of dry etching a wafer surface
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
JP3529676B2 (ja) 1999-09-16 2004-05-24 株式会社東芝 半導体製造装置および半導体装置の製造方法
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR20010039233A (ko) 1999-10-29 2001-05-15 윤종용 반도체 스퍼터링 설비의 웨이퍼 에칭 장치
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6553332B2 (en) 1999-12-22 2003-04-22 Texas Instruments Incorporated Method for evaluating process chambers used for semiconductor manufacturing
US6676800B1 (en) 2000-03-15 2004-01-13 Applied Materials, Inc. Particle contamination cleaning from substrates using plasmas, reactive gases, and mechanical agitation
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US20040011468A1 (en) 2000-05-30 2004-01-22 Jun Hirose Gas introduction system for temperature adjustment of object to be processed
US6521292B1 (en) 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
WO2002033729A2 (en) 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP4366856B2 (ja) 2000-10-23 2009-11-18 東京エレクトロン株式会社 プラズマ処理装置
JP4877884B2 (ja) 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
US20020121501A1 (en) 2001-03-05 2002-09-05 Choquette Scott F. Reduction of sodium contamination in a semiconductor device
US20020142612A1 (en) 2001-03-30 2002-10-03 Han-Ming Wu Shielding plate in plasma for uniformity improvement
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6737919B2 (en) 2001-05-25 2004-05-18 Infineon Technologies North America Corp. Method and apparatus for calibrating a Gm cell utilizing a replica Gm cell
US6652711B2 (en) 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
KR20020095324A (ko) 2001-06-14 2002-12-26 삼성전자 주식회사 고주파 파워를 이용하는 반도체장치 제조설비
KR100422446B1 (ko) 2001-07-12 2004-03-12 삼성전자주식회사 건식식각장치의 이그저스트링
US6868800B2 (en) 2001-09-28 2005-03-22 Tokyo Electron Limited Branching RF antennas and plasma processing apparatus
TW567394B (en) 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
KR20030041495A (ko) 2001-11-20 2003-05-27 주식회사 하이닉스반도체 반도체 소자 및 제조 방법
AU2002366943A1 (en) 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030194510A1 (en) 2002-04-16 2003-10-16 Applied Materials, Inc. Methods used in fabricating gates in integrated circuit device structures
WO2003089990A2 (en) 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP2003338491A (ja) 2002-05-21 2003-11-28 Mitsubishi Electric Corp プラズマ処理装置および半導体装置の製造方法
US20040031565A1 (en) 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
KR100465877B1 (ko) 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US7459098B2 (en) 2002-08-28 2008-12-02 Kyocera Corporation Dry etching apparatus, dry etching method, and plate and tray used therein
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20030047536A1 (en) 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
JP2004165298A (ja) 2002-11-11 2004-06-10 Canon Sales Co Inc プラズマ処理装置及びプラズマ処理方法
JP4479222B2 (ja) 2002-11-22 2010-06-09 沖電気工業株式会社 化合物半導体層の表面処理方法及び半導体装置の製造方法
US7582186B2 (en) 2002-12-20 2009-09-01 Tokyo Electron Limited Method and apparatus for an improved focus ring in a plasma processing system
JP2004214336A (ja) 2002-12-27 2004-07-29 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
WO2004095529A2 (en) 2003-03-21 2004-11-04 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
US6805779B2 (en) 2003-03-21 2004-10-19 Zond, Inc. Plasma generation using multi-step ionization
WO2004095502A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
US6806651B1 (en) 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
JP2004349419A (ja) 2003-05-21 2004-12-09 Tokyo Electron Ltd プラズマ処理装置の異常原因判定方法及び異常原因判定装置
US7241345B2 (en) 2003-06-16 2007-07-10 Applied Materials, Inc. Cylinder for thermal processing chamber
US20040261718A1 (en) 2003-06-26 2004-12-30 Kim Nam Hun Plasma source coil for generating plasma and plasma chamber using the same
US20050011447A1 (en) 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20050066902A1 (en) 2003-09-26 2005-03-31 Tokyo Electron Limited Method and apparatus for plasma processing
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP4179614B2 (ja) 2003-10-16 2008-11-12 ソフトバンクモバイル株式会社 移動体通信端末用外部装置、移動体通信端末及び移動体通信端末用外部表示システム
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
KR100698614B1 (ko) 2005-07-29 2007-03-22 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
JP2007042951A (ja) 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7771895B2 (en) * 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7964818B2 (en) 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050067405A (ko) * 2002-09-30 2005-07-01 동경 엘렉트론 주식회사 플라즈마 처리 시스템에서 개선된 배플판을 위한 장치 및방법
KR20060048674A (ko) * 2004-06-30 2006-05-18 어플라이드 머티어리얼스, 인코포레이티드 안정된 플라즈마 처리를 위한 방법 및 장치

Also Published As

Publication number Publication date
CN104035276A (zh) 2014-09-10
CN101174106A (zh) 2008-05-07
EP1918971A2 (en) 2008-05-07
TWI385709B (zh) 2013-02-11
EP1918971A3 (en) 2008-05-21
CN104035276B (zh) 2018-07-27
US20080099426A1 (en) 2008-05-01
EP1918971B1 (en) 2015-12-09
JP2008113007A (ja) 2008-05-15
TW200822181A (en) 2008-05-16
KR20080039203A (ko) 2008-05-07
US7909961B2 (en) 2011-03-22
JP5421527B2 (ja) 2014-02-19

Similar Documents

Publication Publication Date Title
KR100951971B1 (ko) 포토마스크 플라즈마 에칭 방법 및 장치
KR100984422B1 (ko) 포토마스크 플라즈마 에칭 방법 및 장치
JP5989608B2 (ja) フォトマスクプラズマエッチングの為の方法および装置
KR101445153B1 (ko) 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치
KR100958757B1 (ko) 플라즈마 반응기 부품을 제조하기 위한 방법 및 장치
KR100823949B1 (ko) 포토마스크 플라즈마 에칭 방법 및 장치
JP2009231725A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130329

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee