TW494531B - Semiconducting system and production method - Google Patents
Semiconducting system and production method Download PDFInfo
- Publication number
- TW494531B TW494531B TW090105332A TW90105332A TW494531B TW 494531 B TW494531 B TW 494531B TW 090105332 A TW090105332 A TW 090105332A TW 90105332 A TW90105332 A TW 90105332A TW 494531 B TW494531 B TW 494531B
- Authority
- TW
- Taiwan
- Prior art keywords
- film
- copper
- wiring
- semiconductor device
- cobalt
- Prior art date
Links
- 238000004519 manufacturing process Methods 0.000 title claims description 16
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims abstract description 196
- 239000010949 copper Substances 0.000 claims abstract description 181
- 229910052802 copper Inorganic materials 0.000 claims abstract description 180
- 239000004065 semiconductor Substances 0.000 claims abstract description 88
- 230000004888 barrier function Effects 0.000 claims abstract description 76
- 230000001681 protective effect Effects 0.000 claims abstract description 72
- 229910017052 cobalt Inorganic materials 0.000 claims abstract description 40
- 239000010941 cobalt Substances 0.000 claims abstract description 40
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims abstract description 38
- 229910000531 Co alloy Inorganic materials 0.000 claims abstract description 36
- 229910052721 tungsten Inorganic materials 0.000 claims abstract description 28
- 239000010937 tungsten Substances 0.000 claims abstract description 28
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims abstract description 25
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims abstract description 20
- 229910052796 boron Inorganic materials 0.000 claims abstract description 20
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims abstract description 18
- 229910052750 molybdenum Inorganic materials 0.000 claims abstract description 18
- 239000011733 molybdenum Substances 0.000 claims abstract description 18
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 claims abstract description 16
- 229910052804 chromium Inorganic materials 0.000 claims abstract description 16
- 239000011651 chromium Substances 0.000 claims abstract description 16
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 claims abstract description 15
- 229910052698 phosphorus Inorganic materials 0.000 claims abstract description 15
- 239000011574 phosphorus Substances 0.000 claims abstract description 15
- 229910052716 thallium Inorganic materials 0.000 claims abstract description 6
- BKVIYDNLLOSFOA-UHFFFAOYSA-N thallium Chemical compound [Tl] BKVIYDNLLOSFOA-UHFFFAOYSA-N 0.000 claims abstract description 6
- 229910052702 rhenium Inorganic materials 0.000 claims abstract description 5
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 claims abstract description 5
- 238000000034 method Methods 0.000 claims description 31
- 238000007772 electroless plating Methods 0.000 claims description 30
- -1 sharp Chemical compound 0.000 claims description 24
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims description 20
- 229910045601 alloy Inorganic materials 0.000 claims description 10
- 239000000956 alloy Substances 0.000 claims description 10
- 229910052763 palladium Inorganic materials 0.000 claims description 10
- 238000005530 etching Methods 0.000 claims description 9
- ZSLUVFAKFWKJRC-IGMARMGPSA-N 232Th Chemical compound [232Th] ZSLUVFAKFWKJRC-IGMARMGPSA-N 0.000 claims description 6
- 229910052776 Thorium Inorganic materials 0.000 claims description 6
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 claims description 3
- 229910052772 Samarium Inorganic materials 0.000 claims 1
- 241000270295 Serpentes Species 0.000 claims 1
- 230000002079 cooperative effect Effects 0.000 claims 1
- KZUNJOHGWZRPMI-UHFFFAOYSA-N samarium atom Chemical compound [Sm] KZUNJOHGWZRPMI-UHFFFAOYSA-N 0.000 claims 1
- 238000009792 diffusion process Methods 0.000 abstract description 47
- 230000003647 oxidation Effects 0.000 abstract description 11
- 238000007254 oxidation reaction Methods 0.000 abstract description 11
- 238000009413 insulation Methods 0.000 abstract description 9
- 239000010410 layer Substances 0.000 description 76
- 238000007747 plating Methods 0.000 description 33
- 239000000243 solution Substances 0.000 description 20
- 239000000758 substrate Substances 0.000 description 20
- 229910000521 B alloy Inorganic materials 0.000 description 17
- 239000000463 material Substances 0.000 description 17
- 239000000126 substance Substances 0.000 description 15
- 230000015572 biosynthetic process Effects 0.000 description 14
- 238000009713 electroplating Methods 0.000 description 14
- 229910052581 Si3N4 Inorganic materials 0.000 description 13
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 13
- CPJYFACXEHYLFS-UHFFFAOYSA-N [B].[W].[Co] Chemical compound [B].[W].[Co] CPJYFACXEHYLFS-UHFFFAOYSA-N 0.000 description 12
- 238000012360 testing method Methods 0.000 description 11
- 229910052751 metal Inorganic materials 0.000 description 10
- 239000002184 metal Substances 0.000 description 10
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 239000000203 mixture Substances 0.000 description 8
- FEBFYWHXKVOHDI-UHFFFAOYSA-N [Co].[P][W] Chemical compound [Co].[P][W] FEBFYWHXKVOHDI-UHFFFAOYSA-N 0.000 description 7
- 239000003054 catalyst Substances 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 230000008021 deposition Effects 0.000 description 7
- 239000001257 hydrogen Substances 0.000 description 7
- 229910052739 hydrogen Inorganic materials 0.000 description 7
- 238000004544 sputter deposition Methods 0.000 description 7
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 6
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 6
- 239000003638 chemical reducing agent Substances 0.000 description 6
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 5
- 239000012298 atmosphere Substances 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- XMVONEAAOPAGAO-UHFFFAOYSA-N sodium tungstate Chemical compound [Na+].[Na+].[O-][W]([O-])(=O)=O XMVONEAAOPAGAO-UHFFFAOYSA-N 0.000 description 5
- KWSLGOVYXMQPPX-UHFFFAOYSA-N 5-[3-(trifluoromethyl)phenyl]-2h-tetrazole Chemical compound FC(F)(F)C1=CC=CC(C2=NNN=N2)=C1 KWSLGOVYXMQPPX-UHFFFAOYSA-N 0.000 description 4
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 239000007769 metal material Substances 0.000 description 4
- 229910001379 sodium hypophosphite Inorganic materials 0.000 description 4
- 238000005496 tempering Methods 0.000 description 4
- QEMXHQIAXOOASZ-UHFFFAOYSA-N tetramethylammonium Chemical compound C[N+](C)(C)C QEMXHQIAXOOASZ-UHFFFAOYSA-N 0.000 description 4
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- 239000004215 Carbon black (E152) Substances 0.000 description 3
- WSFSSNUMVMOOMR-UHFFFAOYSA-N Formaldehyde Chemical compound O=C WSFSSNUMVMOOMR-UHFFFAOYSA-N 0.000 description 3
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 3
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 3
- 239000000654 additive Substances 0.000 description 3
- 229910052783 alkali metal Inorganic materials 0.000 description 3
- 150000001340 alkali metals Chemical class 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 239000003795 chemical substances by application Substances 0.000 description 3
- 150000001868 cobalt Chemical class 0.000 description 3
- GVPFVAHMJGGAJG-UHFFFAOYSA-L cobalt dichloride Chemical compound [Cl-].[Cl-].[Co+2] GVPFVAHMJGGAJG-UHFFFAOYSA-L 0.000 description 3
- 229910052681 coesite Inorganic materials 0.000 description 3
- 229910052906 cristobalite Inorganic materials 0.000 description 3
- 229930195733 hydrocarbon Natural products 0.000 description 3
- 150000002430 hydrocarbons Chemical class 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 229910052700 potassium Inorganic materials 0.000 description 3
- 239000011591 potassium Substances 0.000 description 3
- 229910052708 sodium Inorganic materials 0.000 description 3
- 239000011734 sodium Substances 0.000 description 3
- 239000001509 sodium citrate Substances 0.000 description 3
- 229910052682 stishovite Inorganic materials 0.000 description 3
- 229910052905 tridymite Inorganic materials 0.000 description 3
- CMPGARWFYBADJI-UHFFFAOYSA-L tungstic acid Chemical compound O[W](O)(=O)=O CMPGARWFYBADJI-UHFFFAOYSA-L 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- 239000002202 Polyethylene glycol Substances 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 239000003513 alkali Substances 0.000 description 2
- 239000002585 base Substances 0.000 description 2
- 229910000085 borane Inorganic materials 0.000 description 2
- JEEHQNXCPARQJS-UHFFFAOYSA-N boranylidynetungsten Chemical compound [W]#B JEEHQNXCPARQJS-UHFFFAOYSA-N 0.000 description 2
- 239000011247 coating layer Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 229910000365 copper sulfate Inorganic materials 0.000 description 2
- ARUVKPQLZAKDPS-UHFFFAOYSA-L copper(II) sulfate Chemical compound [Cu+2].[O-][S+2]([O-])([O-])[O-] ARUVKPQLZAKDPS-UHFFFAOYSA-L 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 238000002265 electronic spectrum Methods 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N hydrazine group Chemical group NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 230000008018 melting Effects 0.000 description 2
- 238000002844 melting Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- MEFBJEMVZONFCJ-UHFFFAOYSA-N molybdate Chemical compound [O-][Mo]([O-])(=O)=O MEFBJEMVZONFCJ-UHFFFAOYSA-N 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 150000002926 oxygen Chemical class 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- ACVYVLVWPXVTIT-UHFFFAOYSA-N phosphinic acid Chemical compound O[PH2]=O ACVYVLVWPXVTIT-UHFFFAOYSA-N 0.000 description 2
- 150000004714 phosphonium salts Chemical class 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 229920001223 polyethylene glycol Polymers 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 230000008054 signal transmission Effects 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- NLJMYIDDQXHKNR-UHFFFAOYSA-K sodium citrate Chemical compound O.O.[Na+].[Na+].[Na+].[O-]C(=O)CC(O)(CC([O-])=O)C([O-])=O NLJMYIDDQXHKNR-UHFFFAOYSA-K 0.000 description 2
- UMGDCJDMYOKAJW-UHFFFAOYSA-N thiourea Chemical compound NC(N)=S UMGDCJDMYOKAJW-UHFFFAOYSA-N 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 239000002023 wood Substances 0.000 description 2
- KRKNYBCHXYNGOX-UHFFFAOYSA-L 2-(carboxymethyl)-2-hydroxysuccinate Chemical compound [O-]C(=O)CC(O)(C(=O)O)CC([O-])=O KRKNYBCHXYNGOX-UHFFFAOYSA-L 0.000 description 1
- PMJNEQWWZRSFCE-UHFFFAOYSA-N 3-ethoxy-3-oxo-2-(thiophen-2-ylmethyl)propanoic acid Chemical compound CCOC(=O)C(C(O)=O)CC1=CC=CS1 PMJNEQWWZRSFCE-UHFFFAOYSA-N 0.000 description 1
- MQIWYGZSHIXQIU-UHFFFAOYSA-O 3-phosphopropylazanium Chemical compound NCCC[P+](O)=O MQIWYGZSHIXQIU-UHFFFAOYSA-O 0.000 description 1
- FVEBQRPNXIWEFI-UHFFFAOYSA-N B([O-])([O-])[O-].[Mo+4].B([O-])([O-])[O-].B([O-])([O-])[O-].B([O-])([O-])[O-].[Mo+4].[Mo+4] Chemical compound B([O-])([O-])[O-].[Mo+4].B([O-])([O-])[O-].B([O-])([O-])[O-].B([O-])([O-])[O-].[Mo+4].[Mo+4] FVEBQRPNXIWEFI-UHFFFAOYSA-N 0.000 description 1
- KXTVFSDQZZXLDA-UHFFFAOYSA-N B([O-])([O-])[O-].[W+4].B([O-])([O-])[O-].B([O-])([O-])[O-].B([O-])([O-])[O-].[W+4].[W+4] Chemical compound B([O-])([O-])[O-].[W+4].B([O-])([O-])[O-].B([O-])([O-])[O-].B([O-])([O-])[O-].[W+4].[W+4] KXTVFSDQZZXLDA-UHFFFAOYSA-N 0.000 description 1
- GXNYYWOKRARRQN-UHFFFAOYSA-N B.C1CCNCC1 Chemical compound B.C1CCNCC1 GXNYYWOKRARRQN-UHFFFAOYSA-N 0.000 description 1
- DBVMZLIBWOUNHJ-UHFFFAOYSA-N B.COCCN Chemical compound B.COCCN DBVMZLIBWOUNHJ-UHFFFAOYSA-N 0.000 description 1
- NUGDCSKHHGSKMA-UHFFFAOYSA-N B.c1c[nH]cn1 Chemical compound B.c1c[nH]cn1 NUGDCSKHHGSKMA-UHFFFAOYSA-N 0.000 description 1
- ZIQYWMNGCHHWLT-UHFFFAOYSA-K C(CC(O)(C(=O)[O-])CC(=O)[O-])(=O)[O-].[Na+].[W+4] Chemical compound C(CC(O)(C(=O)[O-])CC(=O)[O-])(=O)[O-].[Na+].[W+4] ZIQYWMNGCHHWLT-UHFFFAOYSA-K 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910021556 Chromium(III) chloride Inorganic materials 0.000 description 1
- FEWJPZIEWOKRBE-JCYAYHJZSA-N Dextrotartaric acid Chemical compound OC(=O)[C@H](O)[C@@H](O)C(O)=O FEWJPZIEWOKRBE-JCYAYHJZSA-N 0.000 description 1
- 239000003109 Disodium ethylene diamine tetraacetate Substances 0.000 description 1
- ZGTMUACCHSMWAC-UHFFFAOYSA-L EDTA disodium salt (anhydrous) Chemical compound [Na+].[Na+].OC(=O)CN(CC([O-])=O)CCN(CC(O)=O)CC([O-])=O ZGTMUACCHSMWAC-UHFFFAOYSA-L 0.000 description 1
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 1
- GVGLGOZIDCSQPN-PVHGPHFFSA-N Heroin Chemical compound O([C@H]1[C@H](C=C[C@H]23)OC(C)=O)C4=C5[C@@]12CCN(C)[C@@H]3CC5=CC=C4OC(C)=O GVGLGOZIDCSQPN-PVHGPHFFSA-N 0.000 description 1
- OFOBLEOULBTSOW-UHFFFAOYSA-L Malonate Chemical compound [O-]C(=O)CC([O-])=O OFOBLEOULBTSOW-UHFFFAOYSA-L 0.000 description 1
- GFKBNNYAFHFMTI-UHFFFAOYSA-N N.O.O.O.O.O.O.O.O.O.O.O.O.[Cr+3] Chemical compound N.O.O.O.O.O.O.O.O.O.O.O.O.[Cr+3] GFKBNNYAFHFMTI-UHFFFAOYSA-N 0.000 description 1
- 229910001096 P alloy Inorganic materials 0.000 description 1
- JLHODUDOIRFKCB-UHFFFAOYSA-J S(=O)(=O)([O-])[O-].[Os+4].S(=O)(=O)([O-])[O-] Chemical compound S(=O)(=O)([O-])[O-].[Os+4].S(=O)(=O)([O-])[O-] JLHODUDOIRFKCB-UHFFFAOYSA-J 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- WGLPBDUCMAPZCE-UHFFFAOYSA-N Trioxochromium Chemical compound O=[Cr](=O)=O WGLPBDUCMAPZCE-UHFFFAOYSA-N 0.000 description 1
- 229910052770 Uranium Inorganic materials 0.000 description 1
- XSQUKJJJFZCRTK-UHFFFAOYSA-N Urea Natural products NC(N)=O XSQUKJJJFZCRTK-UHFFFAOYSA-N 0.000 description 1
- BATLCPREIURHFV-UHFFFAOYSA-N [N+](=O)([O-])[O-].[Re+4].[N+](=O)([O-])[O-].[N+](=O)([O-])[O-].[N+](=O)([O-])[O-] Chemical compound [N+](=O)([O-])[O-].[Re+4].[N+](=O)([O-])[O-].[N+](=O)([O-])[O-].[N+](=O)([O-])[O-] BATLCPREIURHFV-UHFFFAOYSA-N 0.000 description 1
- YEFJHNZIYIHXJQ-UHFFFAOYSA-N [Os+4].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O Chemical compound [Os+4].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O YEFJHNZIYIHXJQ-UHFFFAOYSA-N 0.000 description 1
- NLSVOBIZLDPPEV-UHFFFAOYSA-N [Si]([O-])([O-])([O-])O[Si]([O-])([O-])[O-].[W+6] Chemical compound [Si]([O-])([O-])([O-])O[Si]([O-])([O-])[O-].[W+6] NLSVOBIZLDPPEV-UHFFFAOYSA-N 0.000 description 1
- 238000005299 abrasion Methods 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 150000008044 alkali metal hydroxides Chemical class 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 239000011609 ammonium molybdate Substances 0.000 description 1
- APUPEJJSWDHEBO-UHFFFAOYSA-P ammonium molybdate Chemical compound [NH4+].[NH4+].[O-][Mo]([O-])(=O)=O APUPEJJSWDHEBO-UHFFFAOYSA-P 0.000 description 1
- 235000018660 ammonium molybdate Nutrition 0.000 description 1
- 229940010552 ammonium molybdate Drugs 0.000 description 1
- 239000003963 antioxidant agent Substances 0.000 description 1
- 230000003078 antioxidant effect Effects 0.000 description 1
- 150000001491 aromatic compounds Chemical class 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IFVAHVOGOBRFSP-UHFFFAOYSA-N borane;morpholine Chemical compound B.C1COCCN1 IFVAHVOGOBRFSP-UHFFFAOYSA-N 0.000 description 1
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 description 1
- 239000004327 boric acid Substances 0.000 description 1
- 150000001639 boron compounds Chemical class 0.000 description 1
- LWTNJZJJELQQJM-UHFFFAOYSA-N boron;butan-1-amine Chemical compound [B].CCCCN LWTNJZJJELQQJM-UHFFFAOYSA-N 0.000 description 1
- HVABKWYVQHSGHW-UHFFFAOYSA-N boron;ethanamine Chemical compound [B].CCN HVABKWYVQHSGHW-UHFFFAOYSA-N 0.000 description 1
- QELVBRYVPXJQMT-UHFFFAOYSA-N boron;ethane-1,2-diamine Chemical compound [B].NCCN QELVBRYVPXJQMT-UHFFFAOYSA-N 0.000 description 1
- RJTANRZEWTUVMA-UHFFFAOYSA-N boron;n-methylmethanamine Chemical compound [B].CNC RJTANRZEWTUVMA-UHFFFAOYSA-N 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- OEYIOHPDSNJKLS-UHFFFAOYSA-N choline Chemical compound C[N+](C)(C)CCO OEYIOHPDSNJKLS-UHFFFAOYSA-N 0.000 description 1
- 229960001231 choline Drugs 0.000 description 1
- 229960000359 chromic chloride Drugs 0.000 description 1
- 150000001844 chromium Chemical class 0.000 description 1
- 229910000423 chromium oxide Inorganic materials 0.000 description 1
- QSWDMMVNRMROPK-UHFFFAOYSA-K chromium(3+) trichloride Chemical compound [Cl-].[Cl-].[Cl-].[Cr+3] QSWDMMVNRMROPK-UHFFFAOYSA-K 0.000 description 1
- SCJHDWONMNAHII-UHFFFAOYSA-N chromium(3+);borate Chemical compound [Cr+3].[O-]B([O-])[O-] SCJHDWONMNAHII-UHFFFAOYSA-N 0.000 description 1
- GRWVQDDAKZFPFI-UHFFFAOYSA-H chromium(III) sulfate Chemical compound [Cr+3].[Cr+3].[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O GRWVQDDAKZFPFI-UHFFFAOYSA-H 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- UFMZWBIQTDUYBN-UHFFFAOYSA-N cobalt dinitrate Chemical compound [Co+2].[O-][N+]([O-])=O.[O-][N+]([O-])=O UFMZWBIQTDUYBN-UHFFFAOYSA-N 0.000 description 1
- 229910001981 cobalt nitrate Inorganic materials 0.000 description 1
- KPLQYGBQNPPQGA-UHFFFAOYSA-N cobalt samarium Chemical compound [Co].[Sm] KPLQYGBQNPPQGA-UHFFFAOYSA-N 0.000 description 1
- INPLXZPZQSLHBR-UHFFFAOYSA-N cobalt(2+);sulfide Chemical compound [S-2].[Co+2] INPLXZPZQSLHBR-UHFFFAOYSA-N 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 235000019301 disodium ethylene diamine tetraacetate Nutrition 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- HFTNNOZFRQLFQB-UHFFFAOYSA-N ethenoxy(trimethyl)silane Chemical compound C[Si](C)(C)OC=C HFTNNOZFRQLFQB-UHFFFAOYSA-N 0.000 description 1
- 238000010304 firing Methods 0.000 description 1
- MWFSXYMZCVAQCC-UHFFFAOYSA-N gadolinium(iii) nitrate Chemical compound [Gd+3].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O MWFSXYMZCVAQCC-UHFFFAOYSA-N 0.000 description 1
- 229910001385 heavy metal Inorganic materials 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000012966 insertion method Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 229940049920 malate Drugs 0.000 description 1
- BJEPYKJPYRNKOW-UHFFFAOYSA-N malic acid Chemical compound OC(=O)C(O)CC(O)=O BJEPYKJPYRNKOW-UHFFFAOYSA-N 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- WDCKRYQAVLUEDJ-UHFFFAOYSA-N methyl(oxo)silicon Chemical compound C[Si]=O WDCKRYQAVLUEDJ-UHFFFAOYSA-N 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 150000002751 molybdenum Chemical class 0.000 description 1
- OYSPWFSKOQJDAN-UHFFFAOYSA-J molybdenum(4+) tetrachlorate Chemical compound Cl(=O)(=O)[O-].[Mo+4].Cl(=O)(=O)[O-].Cl(=O)(=O)[O-].Cl(=O)(=O)[O-] OYSPWFSKOQJDAN-UHFFFAOYSA-J 0.000 description 1
- VLAPMBHFAWRUQP-UHFFFAOYSA-L molybdic acid Chemical compound O[Mo](O)(=O)=O VLAPMBHFAWRUQP-UHFFFAOYSA-L 0.000 description 1
- 239000012299 nitrogen atmosphere Substances 0.000 description 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 1
- 229910052762 osmium Inorganic materials 0.000 description 1
- SYQBFIAQOQZEGI-UHFFFAOYSA-N osmium atom Chemical compound [Os] SYQBFIAQOQZEGI-UHFFFAOYSA-N 0.000 description 1
- 229910000487 osmium oxide Inorganic materials 0.000 description 1
- HTLKKVCNWMLUNS-UHFFFAOYSA-J osmium(4+) tetraformate Chemical compound C(=O)[O-].[Os+4].C(=O)[O-].C(=O)[O-].C(=O)[O-] HTLKKVCNWMLUNS-UHFFFAOYSA-J 0.000 description 1
- JIWAALDUIFCBLV-UHFFFAOYSA-N oxoosmium Chemical compound [Os]=O JIWAALDUIFCBLV-UHFFFAOYSA-N 0.000 description 1
- 238000012856 packing Methods 0.000 description 1
- PIBWKRNGBLPSSY-UHFFFAOYSA-L palladium(II) chloride Chemical compound Cl[Pd]Cl PIBWKRNGBLPSSY-UHFFFAOYSA-L 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- CVHZOJJKTDOEJC-UHFFFAOYSA-N saccharin Chemical compound C1=CC=C2C(=O)NS(=O)(=O)C2=C1 CVHZOJJKTDOEJC-UHFFFAOYSA-N 0.000 description 1
- 229940081974 saccharin Drugs 0.000 description 1
- 235000019204 saccharin Nutrition 0.000 description 1
- 239000000901 saccharin and its Na,K and Ca salt Substances 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 229910000938 samarium–cobalt magnet Inorganic materials 0.000 description 1
- 239000004576 sand Substances 0.000 description 1
- RMAQACBXLXPBSY-UHFFFAOYSA-N silicic acid Chemical compound O[Si](O)(O)O RMAQACBXLXPBSY-UHFFFAOYSA-N 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000012279 sodium borohydride Substances 0.000 description 1
- 229910000033 sodium borohydride Inorganic materials 0.000 description 1
- 239000011684 sodium molybdate Substances 0.000 description 1
- 235000015393 sodium molybdate Nutrition 0.000 description 1
- TVXXNOYZHKPKGW-UHFFFAOYSA-N sodium molybdate (anhydrous) Chemical compound [Na+].[Na+].[O-][Mo]([O-])(=O)=O TVXXNOYZHKPKGW-UHFFFAOYSA-N 0.000 description 1
- RWVGQQGBQSJDQV-UHFFFAOYSA-M sodium;3-[[4-[(e)-[4-(4-ethoxyanilino)phenyl]-[4-[ethyl-[(3-sulfonatophenyl)methyl]azaniumylidene]-2-methylcyclohexa-2,5-dien-1-ylidene]methyl]-n-ethyl-3-methylanilino]methyl]benzenesulfonate Chemical compound [Na+].C1=CC(OCC)=CC=C1NC1=CC=C(C(=C2C(=CC(C=C2)=[N+](CC)CC=2C=C(C=CC=2)S([O-])(=O)=O)C)C=2C(=CC(=CC=2)N(CC)CC=2C=C(C=CC=2)S([O-])(=O)=O)C)C=C1 RWVGQQGBQSJDQV-UHFFFAOYSA-M 0.000 description 1
- 239000002689 soil Substances 0.000 description 1
- 210000002784 stomach Anatomy 0.000 description 1
- KDYFGRWQOYBRFD-UHFFFAOYSA-L succinate(2-) Chemical compound [O-]C(=O)CCC([O-])=O KDYFGRWQOYBRFD-UHFFFAOYSA-L 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229940095064 tartrate Drugs 0.000 description 1
- CBXCPBUEXACCNR-UHFFFAOYSA-N tetraethylammonium Chemical compound CC[N+](CC)(CC)CC CBXCPBUEXACCNR-UHFFFAOYSA-N 0.000 description 1
- DDXPLCBTGWFAIG-UHFFFAOYSA-N triazanium;phosphate;trihydrate Chemical compound [NH4+].[NH4+].[NH4+].O.O.O.[O-]P([O-])([O-])=O DDXPLCBTGWFAIG-UHFFFAOYSA-N 0.000 description 1
- HRXKRNGNAMMEHJ-UHFFFAOYSA-K trisodium citrate Chemical compound [Na+].[Na+].[Na+].[O-]C(=O)CC(O)(CC([O-])=O)C([O-])=O HRXKRNGNAMMEHJ-UHFFFAOYSA-K 0.000 description 1
- 229940038773 trisodium citrate Drugs 0.000 description 1
- NCPXQVVMIXIKTN-UHFFFAOYSA-N trisodium;phosphite Chemical compound [Na+].[Na+].[Na+].[O-]P([O-])[O-] NCPXQVVMIXIKTN-UHFFFAOYSA-N 0.000 description 1
- 150000003657 tungsten Chemical class 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
- JFALSRSLKYAFGM-UHFFFAOYSA-N uranium(0) Chemical compound [U] JFALSRSLKYAFGM-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/288—Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/288—Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
- H01L21/2885—Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76864—Thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76865—Selective removal of parts of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76874—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Chemically Coating (AREA)
Description
494531 A7 __B7______ 五、發明説明(1 ) [發明領域] 本發明關係於一半導體裝置及其製造方法,更明確地說, 關係於一半導體裝置及其製造方法,其中,該半導體裝置具有 由銅作成之接線結構,並具有一基本結構,其具有一線保護膜 • 於該銅接線旁,覆蓋銅接線的頂部,及一阻障膜包圍該銅接 線的側及底部。 [先前技藝的說明] 裝置之操作速度的改變係需要完成更高積集度及半導 體裝置的先進能力。這要求係跟隨著LSI內部接線之縮小及 膜層數量之增加的製程。接線的縮小及層膜數量的增加將 造成接線電阻及接線間能力之增加,並將影響於接線中之信 號傳送速度。因爲速度增加係受到由於延遲時間的限制,層 間絕緣膜之介電常數係被降低,以減少接線間電容。於此時, 該操作速度係藉由使用較低電阻之接線材料來降低接線電 阻,而改良操作速度。 有很多硏究已使用具有1.7 // Ω cm低比電阻之銅,作爲 ^ 接線材料。至於形成一銅接線的技術,雙層嵌入法係爲一引 | 入注意的方法。以此參考第丨〇圖說明此方法的例子: 4 | 絕緣膜4(第10a圖中)係被形成於基材(於此例子中,相 :] 當具有下層接線2b之下層接線層10a並提供有線保護膜8, 其特徵在於一高絕緣在所有側)(在第1 Ob圖中)。一接線凹 ^ 槽7,以內藏接線及一連接孔10以連接上及下接線係形成在 : 該絕緣膜4上。因爲一絕緣線保護膜8係位於下層接線層 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -4 - (請先閲讀背面之注意事 項再填寫· 本頁) 494531 A7 B7 五、發明説明(2 ) l〇a及絕緣膜4之間,線保護膜8可以由連接孔10之底部去 除(第10c圖)。於阻障層3被形成於接線凹槽7及連接孔上 之後(第10d圖),一種層5係形成於其上(第l〇e圖),並被塡以 接線材料6(第l〇f圖)。然後,CMP(化學機械硏磨)係被用以 去除接線材料6之過量部份,及接線插塞1 1係同時形成於上 層之接線2及連接孔10中(第i〇g圖)。然後,該線保護膜8 係被形成,以覆蓋接線2及絕緣層4(第1 Oh圖)。此雙層嵌入 法允許接線2及接線插塞1 1被一次形成,藉以確保製造成本 之大量降低。 另外,銅與絕緣膜4反應並擴散於絕緣膜中。爲了確保 良好之接線可靠度,絕緣線保護膜8及阻障膜3必須如上所 述提供於銅接線2及絕緣膜4之間。傳統上,例如氮化鈦,氮 化鉅及氮化鎢之氮化物金屬可以防止銅擴散,及例如鉅及鎢 及其合金之高熔點金屬已經被使用作爲阻障層3 。同時,絕 緣氮化矽膜(SiN)已經被使用作爲在銅接線2上之線保護膜8 (請先閲讀背面之注意事 1# 項再填< 裝-- 寫本頁) Φ 1 μ l :才 i 然而,SiN具有7.0至9.0之比介電常數。其具有Si〇2之 絕緣膜的兩倍之介電常數。因此,其阻礙了於極端細微接線 圖案中之接線間電容量的降低。爲了克服此問題,電容量必 須藉由形成一導電膜係爲在接線頂面上之線保護膜加以降 低。 美國專利第5,695,8 1 0號揭示一鈷鎢磷導電膜係藉由無 電電鍍加以形成爲線保護膜。於鈷鎢磷無電電鍍中,次磷酸 鈉係經常被使用作爲還原劑。次磷酸鈉係爲一已知不活性 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) •5- 494531 A7 _ B7 五、發明説明(3 ) 還原劑,不會有反應發生於銅上,其不能直接鍍於銅上(如由 美國佛州之美國電鍍者及表面加工協會之第318頁之”無電 電鍍-基礎及應用”)。因此,於例如鈀之種層施加至銅接線後, 鈷鎢磷膜必須被電鍍。然而,於此時,銷可能與構成接線層之 銅反應,而增加了銅電阻。再者,銷可以沉積於絕緣層上而不 是接線上,及鈷鎢磷膜可能形成於接線外之絕緣上。因此,當 生產細微接線時,這涉及了降低需要接線間絕緣之問題。 再者,日本專利公報1 6906/1 999揭示該含鈷無電電鍍係 被使用作爲抗氧化劑膜。然而,由該法所取得之含鈷膜具有 不夠之防銅擴散的能力。若於半導體形成製程或形成後執 行熱處理,則銅可能經由含鈷膜擴散入SiCh中。 日本專利公報1 20674/1 994揭示於生產電路基材中,一 由鎢鈷硼合金作爲主成份之中間金屬膜係被形成在接線板 之表面上,其係被提供有一接線導體,並係塗覆以由銅作成之 電路導體。然而,由鎢鈷硼合金作爲主成份之中間金屬膜係 想要增加於電路基材表面上之鎢或鉬之接線連接器及形成 於其表面上之銅的電路導體間之黏著力;其並不作爲一於銅 接線及絕緣間之線保護膜。 如上所述,已經被使用作爲線保護膜之SiN爲絕緣,並具 有商比介電常數。這係爲一阻礙接線間電容量降低之因素 。爲了解決此問題,一接線保護膜可以被以金屬材料加以形 成,以允許電容量之降低。然而,例如氮化鈦,氮化鉅及氮化 鎢之氮化物金屬被作爲一線保護膜,例如鉅及鎢之具有高熔 點之金屬並不能在選擇下形成於銅接線上。爲了避免於線 -6- (請先閲讀背面之注意事項再填寫本頁) 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 494531 A7 B7 五、發明説明(4 ) (請先閲讀背面之注意事項再填寫本頁) 間之短路,則需要如圖案化及蝕刻之此等複雜製程。這將使 接線形成精確度及可靠度劣化。因此,此二事項必須解決,以 金屬材料形成線保護膜。 (1)爲了確保銅接線可靠性,有必要形成一金屬材料,其能 防止銅擴散,而不會允許銅接線於熱處理中氧化。 (Π)示於(1)中之金屬材料必須以一選擇基礎形成在銅接 線上。 一種符合此兩種要求之形成方法必須加以提供。當例 如氮化鈦,氮化鉅及氮化鎢之金屬氮化物,例如鉅及鎢或其合 金之具高熔化點之金屬被使用作爲一阻障膜時,一種層必須 被形成,以提供因爲高電阻之銅電鍍。特別是,若能作爲功率 饋送層之阻障膜可以被形成時,則電鍍銅可以直接提供於阻 障膜上,及導電膜(銅接線膜)可以有效形成。特別是,當無電 電鍍被使用以形成一導電阻障膜時,阻障膜可以均勻地形成, 而不管接線的複雜架構,其有效地作爲電鍍銅之種層。然而, 符合這些要求之線保護膜或阻障膜於現在係爲未知的。 [發明槪要] 本發明之目的係解決上述先前技藝的技術上的問題。 更明確地說,本發明係想要防止由於銅接線氧化之電阻上升 及由於銅擴散之銅接線及元件之可靠度降低。同時,吾人想 要提供一半導體裝置及其形成方法,其中該半導體裝置係被 提供有一銅線保護膜及/或阻障膜允許均勻地形成銅接線膜, 而不管其複雜架構。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 494531 A7 ___B7_ 五、發明説明(5 ) 爲了完成上述目的,本發明提供一半導體裝置,其包含一 線保護膜,以覆蓋形成於絕緣膜中之銅接線之頂部,及一阻障 膜包圍住銅接線的側及底部,其中該線保護膜及/或阻障膜係 以鈷合金膜加以形成,該合金膜包含(1)鈷,(2)鉻,鉬,鎢,銶,銥, 及磷之至少之一,及(3)硼。 依據本發明之半導體裝置更特徵在於: 多數層之銅線係形成於絕緣膜中, 接線保護膜及阻障膜係被覆蓋以鈷合金膜,該膜包含(1) 鈷,(2)鉻,鉬,鎢,銶,銳及磷之至少之一,及⑶硼,及 該在上層上之銅線係經由該阻障層電氣連接至在下層 上之銅線。 於另一實施例中,該半導體裝置生產方法係特徵在於一 作爲蝕刻停止層之絕緣膜係進一步形成在線保護膜所形成 之區域以外之絕緣膜之表面上。在所有表面上形成此一蝕 刻停止層使半導體裝置製程中容易完成蝕刻連接。 本發明揭示了一半導體裝置生產方法,其特徵在於 半導體裝置包含一線保護膜,以覆蓋形成於絕緣膜中之 銅接線頂部,及一阻障膜包圍注銅接線的側及底部; 其中該線保護膜及/或阻障膜係以鈷合金膜加以形成,該 鈷合金膜包含(1)鈷,(2)鉻,鉬,鎢,銶,鉈,及磷之至少之一,及 (3)硼。 本發明由於銅接線的氧化之電阻增加,及由於銅擴散造 成之銅接線及元件之可靠度的降低,並允許線保護膜被以選 擇爲基礎單獨形成於銅接線上。然後,阻障膜係由導電銘合 (請先閲讀背面之注意事項再填寫本頁) ▼裝.
、1T 本纸朵尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -8 - 494531 A/ B7 五、發明説明(6 ) 金膜形成,銅可以直接塗覆於阻障膜上,而不需要任何功率饋 送層。這解決了孔隙形成之問題並免除了形成一種層的步 驟。 於依據本發明之半導體裝置中,該鈷合金,即線保護膜及 /或阻障膜係較佳具有100奈米或更少之厚度,並包含50至 95原子百分比鈷作爲主成份,1至40原子百分比之鉻,組,鎢, 銶,銳及磷之至少之一,及0.1至10原子百分比之硼。 以下參考附圖,說明依據本發明之半導體裝置及其生產 方法的較佳實施例。依據本發明之半導體裝置係基本上依 據以下製程步驟加以製造(見第1圖): U)形成一絕緣膜4於基材10a上(並不限定於下述之下 層銅接線2b及線保護膜la,其係已經形成於第la圖中作爲 絕緣層(第1 b圖)); (b)形成一接線凹槽7及連接孔10於絕緣膜4上(第lc 圖); (c)形成一阻障膜3於接線凹槽7及連接孔10中(第Id 圖); 齊 I 】才 i (d) 形成一種層5於該阻障膜> 上(第le圖); (e) 內藏銅膜6於接線凹槽7及孔10中(第If圖); (f) 藉由去除形成於絕緣膜4上而不是接線凹槽7及孔 1〇中之銅6,而形成銅接線2及接線插塞11(第lg圖); (g) 形成線保護膜1於銅接線2的表面(第lh圖)。 一具有接線層積層於多層上(於圖中爲四層)之半導體裝 置係藉由重覆步驟(a)至(g)若干次加以完成,如同於第2圖所 -9 - (請先閲讀背面之注意事項再填寫本頁) 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 494531 9Κ 6.\ Η F; 舞立匕彌兀 la 第90105332號專利申請案 中文說明書修正頁A7 民國91年6月修正 B7 五、發明説明(7 ) 75 0 (請先閱讀背面之注意事項再填寫本頁) 低介電常數之Si〇2,倍半氧化物氫氧化物及甲基聚矽氧 院之絕緣材料及其積層膜可以使用作爲絕緣膜4。銅接線2 可以藉由電鍍銅或無電電鍍加以形成。如由後述,形成種層 5之步驟可以被免除,以銅接線2之無電銅電鍍可以當依據 本發明之鈷合金膜被使用作爲阻障膜3時被完成。 例如鈦,鉬及鎢或其合金之高熔點材料,及例如氮化鈦, 氮化钽,及氮化鎢之氮化物膜可以被使用作爲阻障膜3。再 者,依據本發明之鈷合金膜可以被使用。於此時,形成步驟係 藉由將被提供有接線凹槽7及孔10之基材浸入鈷爲主無電 電鍍浴中。 經濟部智慧財產局員工消費合作社印製 該鈷爲主無電電鍍浴包含金屬鹽,還原劑,完成劑,pH調 整劑及添加劑。氯化鈷,硫化鈷,及硝酸鈷可以使用作爲鈷鹽 。至於鎢鹽,有可能使用鎢酸鈉,鎢酸銨,三水合磷酸銨,五水 合仲鎢酸銨,η-水合磷鎢酸鈉,12-鎢矽酸26-水,鎢酸,氧化鎢, 檸檬酸鎢鈉,二矽酸鎢,硼酸鎢等。較佳使用鎢酸鈉,鎢酸胺, 鎢酸及檸檬酸鎢鈉。至於鉬鹽,有可能使用鉬酸,氯酸鉬,鉬 酸鉀,二水合鉬酸鈉,鉬酸銨,η-水合鉬酸矽化物,乙醯丙酮酸 氧化鉬,η-水合磷鉬酸鈉,硼酸鉬等。至於鉻鹽,也有可能使用 鉻酸銨,十二水合硫酸銨鉻,六水合氯酸鉻,硫酸鉻,η-水合,氧 化鉻,硼酸鉻,二水合重鉻酸鈉等。至於銶鹽,有可能使用高 銶酸銨,六氯銶酸鉀等。至於鉈鹽,有可能使用硝酸鉈,甲酸 鉈,硫酸鉈,氧化鉈等。磷可以由單水合次膦酸鈉,3-氨基丙次 膦酸及次膦酸。 -10- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 494531 A7 ___B7_ 五、發明説明(8 ) (請先閲讀背面之注意事項再填寫本頁) 爲了選擇性地只在銅接線2上形成形保護膜,還原劑係 爲聯氨及硼化合物,其中反應進行於銅接線2及鍍鈷膜之表 面上。有可能使用二甲胺硼烷,乙胺硼烷,胺硼烷,嗎林硼烷, 比定硼烷,六氫比定硼烷,乙二胺硼烷,乙二胺二硼烷,第三丁 胺硼烷,咪唑硼烷,甲氧乙銨硼烷,硼氫化鈉,等。使用此一還 原劑允許線保護膜1被直接形成於銅接線2上,而不必施加 例如鈀之電鍍觸媒。 檸檬酸,丁二酸鹽,丙二酸鹽,蘋果酸鹽,酒石酸鹽等係較 佳使用作爲完成劑。例如氫氧化鈉及氫氧化鉀之氫氧化鹼 金屬,及例如氨,四甲基銨,四乙基銨,膽鹼等係較佳被使用作 爲pH調整鹼溶液。一例如硫尿,糖精,硼酸,硝酸鉈及聚乙二 醇可以被使用作爲添加劑。電鍍溶液之溫度較佳爲由40至 90 〇C。 藉由使用此鈷爲主無電電鍍浴所形成之線保護膜1以 選擇爲主,如所示地覆蓋在銅接線2之頂部。於此,線保護膜 1展現由銅接線2之等向性成長,使得膜不只成長於銅接線2 上之方向。它由銅接線2之邊緣成長至阻障膜3或絕緣膜4 之頂部等於保護膜1之厚度。當線保護膜1之係薄於阻障膜 3時,其成長至阻障膜3之頂部。若線保護膜1係厚於阻障膜 3,則其延伸至阻障膜3上絕緣膜4之頂部。再者,若於形成於 步驟(c)中之阻障膜3之表面中之電鍍反應係活躍的,則其係 藉由延伸超出絕緣膜4之頂部,等向地由阻障膜3之邊緣形 成,如於第3圖所示。因此,由於線保護膜之等向性成長,線保 護膜1之邊緣爲圓形並不是矩形。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -Ή - 494531 6 A7 B7 五、發明説明(9 ) (請先閲讀背面之注意事項再填寫本頁) 當銅接線2之去除量由於步驟⑴中之過量硏磨,而大於 阻障膜3之去除量,及銅接線2係較阻障膜3下凹時,即,當 所謂碟形化發生時,銘爲主無電電鍍溶液係被選擇,以確保沉 積只發生在銅接線2之頂部,而沒有沉積在阻障膜3上。然 後,銅接線2之下凹部可以被降低,並且,這係較佳的。 [圖式簡要說明] 第la-h圖爲一製程,顯示如何生產依本發明之一實施例 之半導體裝置; 第2圖爲一剖面圖,顯示代表本發明之半導體裝置的實 施例; 第3圖爲一剖面圖,顯示代表本發明之半導體裝置的另 一實施例; 第4圖爲一剖面圖,顯示代表本發明之半導體裝置的另 一實施例; 第5圖爲一剖面圖,顯示代表本發明之半導體裝置的另 一實施例,其中提供有兩蝕刻停止層; 經濟部智慧財產局員工消費合作社印製 第6圖爲一剖面圖,顯示代表本發明之半導體裝置的另 一實施例,其中一蝕刻停止層係只提供在接線層之頂端上; 第7a -h圖爲一製程,例示如何生產具有示於第5及6圖 之蝕刻停止層.9之半導體裝置; 第8圖爲一剖面圖,代表一傳統半導體裝置; 第9圖爲一表面圖,代表一傳統半導體裝置; 第10a-h圖爲一製程,顯示如何生產一傳統半導體裝置; -12- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 494531 A7 B7 五、發明説明(10) 第11圖爲一剖面圖,代表本發明之半導體裝置之另一實 施例;及 (請先閲讀背面之注意事項再填寫本頁) 第12圖爲一剖面圖,代表本發明之半導體裝置之另一實 施例。 [符號說明] 1 線保護膜 la 線保護膜 2 銅接線 2b 銅接線 3 阻障膜 4 絕緣膜 5 銅種層 6 銅膜 7 接線凹槽 8 線保護膜 9 觸媒 10 連接孔 11 接線插塞 13 接線間故障沉積部 14 接線間短路位置 16 絕緣膜 17 蝕刻停止層 18 絕緣膜 本紙張尺度適用中準(CNS ) Α4^ ( 21〇χ297公羡):ΐ3 - " "—---— 494531 A7 B7 五、發明説明() 19 蝕刻停止層 20 Si〇, 21 氮化矽膜 22 開口 23 光阻 (請先閲讀背面之注意事項再填寫本頁) [較佳實施例的說明] (實施例1) 以下實施例將參考第1圖加以說明。元件係形成於矽 基材上,該基材具有200mm直徑,以形成一銅接線2b在一下 層(第la圖)。因此,形成有具有厚1微米之SiCh絕緣膜4(第 1 b圖)。接線凹槽7及連接孔1 0係藉由乾蝕刻加以形成(第 lc圖)。接線凹槽7係0.3微米寬,及連接孔10具有0.3微米 直徑。然後,Ta係藉由濺鍍被形成於具有50奈米之膜中,該 膜作爲阻障膜3(第Id圖)。然後,銅係被形成至150奈米的 厚度成爲一種層5(第le圖)。對於銅種層5,膜係被使用銅濺 鍍Ceraus ZX- 1000(日本真空有限公司)之長距濺鍍系統,以每 分200至400奈米之速度形成。基材係被浸於以下所示之電 鍍溶液中,並受到24 °C之溶液溫度電鍍及1安每平方公分之 電流密度5分鐘。銅係被內藏於接線凹槽7及連接孔1 0中, 以形成銅膜6。含磷銅係被使用作爲一陽極。 硫酸銅:〇.4mol/dm3 硫酸:2.0mol/dnr’ 氯離子:1.5 X 10·'mo 1/dm3 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -14 - 494531 A7 _ B7_ 五、發明説明(12 )
Microfab Cu2100:10 X l(T3dm3/dm3(由日本電鍍密封公 司之鍍銅添加劑)。 (請先閱讀背面之注意事項再填寫本頁) 然後,用IP E C之化學機械硏磨設備,一銘土分佈輪含1 至2%之過氧化氫,及一墊(羅德公司之IC 1000)進行化學機械 硏磨。硏磨係以190克每平方公分之硏磨壓力,進行直到阻 障膜3爲止,以分離接線導體並形成銅接線2(第lg圖)。然 後,係被淸洗於5重量百分比硫酸1分鐘,然後於純水中1分 鐘。 隨後,基材係被浸於以下電鍍溶液中,以形成線保護膜1, 並受到鈷爲主無電電鍍在以下之電鍍條件下(第lh圖):然後 基材以純水淸洗。 氯化鈷:0.1莫耳/dm3 檸檬酸鈉:0.3莫耳/dm3 對甲胺硼:0.06莫耳/dm3 鎢酸鈉:0.03莫耳/dm3 RE610:0.05g/dm3(由東和科學有限公司所製之表面劑) 電鍍條件: !本f .^_Ϊ?Λ4 岈 t ,-7,91:3 V ^-·£.卩 ^ ρΗ:9·5(由K〇H所調整)
溶液溫度:75 °C 電鍍時間:1 0分鐘 於上述程序中所生產之半導體裝置係以FIB(聚焦離子 束)加以處理。包含接線凹槽7及連接孔1 0之裝置的剖面係 以一掃描式電子顯微鏡(此後被簡稱爲”SEM”)加以觀察。可 以看出具有膜厚80奈米之鈷-鎢-硼合金係均勻地沉積於銅 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -15 - 494531 A7 —__B7_ 五、發明説明(13 ) 接線2之表面上。然而,於絕緣膜4上未看到鈷-鎢-硼合金之 沉積。因此,可以淸楚了解線保護膜1可以依據本發明之電 鍍方法,只形成於銅接線2上。 所取得之鈷合金係爲一歐格電子頻譜儀加以分析。可 以看出該膜係被爲一無電電鍍膜,其包含79原子百分比鈷 ,20原子百分心鎢及1原子百分比硼。 然後,半導體裝置係受到於2%氫及98 %氦氣體500 °C之 氣氛中3 0分鐘。表面係爲歐格電子頻譜儀所量測,但銅並未 在表面上檢測出。作爲接線材料之銅的擴散並未看到。於 熱處理前後,沒有接線電阻。由於銅氧化之接線電阻的增加 並未被看到。 因此,依據本發明之無電電鍍,作爲線保護膜i之鈷-鎢-硼合金係以選擇爲基礎被形成於銅接線2上。再者,線保護 膜1防止銅接線2之氧化及銅由銅接線2擴散入絕緣膜4,並 降低了於銅接線2之電阻增加。因此,可以取得高度可靠之 半導體裝置。 (參考例1) 以下顯示依據本發明之無電電鍍之鈷-鎢-磷化物合金膜 之線保護膜1的例子。 參考例1:次磷酸鈉係被使用作爲鈷-鎢-磷化合物電鍍溶 液作爲還原劑,使得電鍍反應並不會發生於銅上。電鍍並火 會直接執行於銅接線2上。電鍍需要觸媒9例如鈀被事先提 供於銅接線2上。作爲電鍍的預處理,以下鈀觸媒製程係被 -16- (請先閲讀背面之注意事項再填寫本頁) 本纸張尺度適用中國ϋ家標準(CNS )八4祕(21〇><297公羡) 494531 A7 _— _B7_ 五、發明説明(14 ) 執行於具有銅接線2形成於其上之矽基材上。 氯化鈀:0.003莫耳/dm3 鹽酸:1 X 10·3莫耳/dm3 乙酸:0.5莫耳/dm3 氫氟酸:5 X 1〇·3莫耳/dm3 溫度:24°C 時間:1 0秒 於觸媒處理中,一平均大小50奈米之鈀係以絕緣方式被 沉積於半導體裝置的表面上。一旦被以純水淸洗約1分鐘 後,半導體裝置係被浸於如表1所示之電鍍溶液中並在相同 於實施例1之電鍍條件下受到鈷爲主無電電鍍。在鈷爲主 無電電鍍時,半導體裝置係被淸洗於純水中。 此半導體裝置之剖面係以SEM加以觀看,及鈷-鎢-磷化 物合金電鍍膜係被沉積於表面上。此鈷合金係爲無電電鍍 膜,其包含84原子百分比鈷,8原子百分比鎢及8原子百分比 磷化物。接線間故障沉積部13(第8圖)及接線間短路位置 14係被在半導體裝置的表面找到(第9圖),除了形成於銅接 線2之線保護膜1之外。同時,表面不規則增加。 然後,半導體裝置係回火於400 °C約30分鐘,於2百分比 氫及98百分比氦氣的氣氛中,以及6原子百分比銅係被檢測 於表面上。作爲接線材料的銅的擴散也被看到。再者,於力口 熱前後展現增加10%之接線電阻。 (實施例2至5) -17- (請先閲讀背面之注意事項再填寫本頁) 木纸張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) 494531 A7 __B7__ 五、發明説明(15 ) 以下顯示其他之實施例2至5,其中鈷-鎢-硼合金係被形 成於銅接線2之表面上作爲線保護膜1。 於實施例2中,鈷爲主無電電鍍溶液係被作成如表中所 示之氨鹼,及沒有含鹼之電鍍溶液係被使用。電鍍條件係相 同於實施例1者。氨水係被用以備製酸性(pH)。 於實施例3中,銘爲主無電電鍍溶液具有如表1所示之 成份百分比。保護膜1係如於實施例1中被形成。於實施例 4中,銅接線2係被以無電電鍍形成,及線保護膜1係被形成 於銅接線2上,藉由鈷爲主無電電鍍。類似於實施例1,元件 係被形成於矽基材上,及銅種層5係被形成於接線凹槽7上 及連接孔10上(第le圖)。然後,基材係被浸於以下銅電鍍浴 中,用以形成銅膜6。 硫酸銅:0.4莫耳/dm3 伸乙基二胺四乙酸二鈉:〇·1莫耳/dm3 甲醛:0.03莫耳/dm3 2,2’-雙比定基:〇.〇〇〇2莫耳/dm3 聚乙二醇:0.03莫耳/dm3(平均分子量:600) 電鍍條件: pH:9.5(以氫氧化鈉調整)
溶液溫度:70 °C 隨後,化學機械硏磨係被執行,以分離接線導線。然後, 執行鈷爲主無電電鍍,如同於實施例1中之情形一般。 用於實施例5中之鈷爲主無電電鍍溶液係爲鹼性的,使 用鹼四甲銨,如於表1所示。其使用沒有含鹼金屬之電鍍溶 • 18- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇x297公慶) 494531 A7 B7 五、發明説明(16 ) 液。電鍍條件係相同於實施例1者,假設四甲銨水被使用以 調配酸性(pH)的話。 鈷-鎢-硼合金係被均勻地沉積於生產於上述步驟中之實 施例2至5之半導體裝置之銅接線2上。鈷合金沉積並未於 絕緣膜4看到。因此,依據每一實施例中之電鍍,線保護膜1 可以只形成於銅接線2之表面上。 然後,實施例2至4之半導體裝置係被回火於400 °C,及 實施例5之半導體裝置係在2百分比氫及98百分比之氨氣 氛下,於500 °C中30分。 於這些實施例中,銅並未檢測於銅上,及銅的擴散成接線 材料並未看到。表1顯示於線保護膜1中以歐格電子顯微鏡 所量測之每一實施例的成份百分比。 (請先閲讀背面之注意事項再填寫本頁) ·£成‘7 .^?!Λ1 ,»7,:ar r 一 污旁>卞 £ .PVJ欠 -19- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 494531 明發 \ 五 I 銅擴散 未擴散 未擴散 未擴散 未擴散 未擴散 擴散6 原子% 保護膜厚 (奈米) 〇 S • 電鍍溶液 Q. • • • • • OO 一 一 一 〇〇 m oo ON 5Ξ υη οο ON oo (由東和科學公 司之表面劑) (g/dm3) 0.05 0.05 0.05 0.05 0.05 0.05 鎢酸 (莫耳/-/dm’) • • • 0.03 鎢酸銨 (莫耳/dm5) • 0.03 • • • 鎢酸鈉 (莫耳/dm) 0.03 0.03 ρ 0.03 0.03 0.03 次磷酸鈉 (莫耳/dm) • • • • 對甲胺硼 (莫耳/dm5) 0.06 0.06 0.06 0.06 0.06 • 檸檬酸 (莫耳/dm3) • • • • 檸懞酸氫二胺 (莫耳/dm1) • rn • • • 檸懞三鈉 (莫耳/dW) rn cn • rn 氯化鈷 (莫洱/dm1) 1 . 5 5 5 5 5 實施例1 實施例2 實施例3 實施例4 實施例5 參考例 (請先閲讀背面之注意事項再填寫本頁) 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -20- 494531 A7 _ B7 五、發明説明(18 ) 依據上述之步驟,一鈷·鎢·硼合金可以選擇地形成於銅 接線2上,作爲於實施例2至5中之線保護膜1,如同於實施例 1,以取得高可靠半導體裝置。再者,一線保護膜係使用電鍍 溶液加以形成,而沒有實施例5中之含鹼金屬。這已經成功 地避免由於此例如鈉或鉀之鹼金屬之污染。 (實施例6至13及參考例2至3) 於實施例6至1 3中,一線保護膜1係形成於示於表2中 之成份之百分比,及服務壽命測試係被進行。對於每一實施 例之半導體裝置,示於第2圖之接線層係被生產於四層中,藉 由重覆示於第11圖中之步驟1至8。於服務壽命測試中,接 線電阻之上升係於600小時及1 200小時後被量測。 接線形狀(a)線寬:0.3微米(b)膜寬:1.0微米(c)線長 :2.5mm 測試條件(a)溫度:175 °C(b)電流密度:3 X 106安每平方 公分。 表2顯示線保護膜1之成份百分比及服務壽命測試之結 果。表2顯示參考例2,其中一線保護膜未被形成,及參考例 3,其中鈷-鎢-磷膜係被形成爲線保護膜1,類似於參考例1。 -21 - (請先閲讀背面之注意事項再填寫本頁) 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 494531 A7 B7 五、發明説明(19 ) 服務壽命測試 I 銅擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 已擴散 回火前後間之接線電阻比(%) Γ 1200小時 2%或更低 cn 寸 寸 m WO 1 ! 600小時 1%或更低 1 Ή C<J CN m η 4 CO CS1 姑合金組成(原子%) PQ 1 '4 r Η CN 1—Η ,_·Η 1' Ή 無線保護膜層 〇 1 1 擊 1 1 1 1 9 " 1 Cu 1 1 1 1 1 1 1 1 οο cn 〇〇 On οο οο CTn 79.8 〇 Γ— οο ON Ο 1 i 匡 f ·Η CNJ 1丨·— cn ......·4 Γ<1 ㈣ 變 變 .舻 Μ s {_ H « (請先閲讀背面之注意事項再填寫本頁)
本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -22- 494531 A7 B7 五、發明説明(2〇 ) 實施例1 3之鈷·鎢-硼合金膜包含11百分比氧。此氧係 爲一不純成份,其當於形成線保護膜1時形成。當於其他實 施例中之更細分析時,碳,氯,硫,重金屬及其他雜質均被包含 。然而,接線電阻的上升係遠小於參考例2及3。再者,銅並 未於絕緣膜4中被檢出。再者,含此氧之鈷合金膜係有效於 作爲線保護膜1。 依據示於表2之結果,於實施例6至13中之鈷-鎢-硼合 金的線保護膜顯示一較參考例2及3爲小之接線電阻上升。 於絕緣膜4中未檢測出銅。因此,於實施例6至1 3中之線保 護膜1係穩定一長時間,並防止銅接線2之氧化及銅由銅接 線2擴散入絕緣膜4,,藉以取得一高可靠度之半導體裝置。 (實施例14至25) 於實施例14至25中,銅接線2係被形成於矽基材上,如 同於實施例1般。此基材係被浸於示於表3中之電鍍浴中, 並受到鈷爲主之無電電鍍。電鍍條件係相同於實施例1者 於實施例24中,低介電常數之碳氫化合物爲主之有機絕 緣膜材料係被使用作爲絕緣膜4。類似於實施例1,元件係 被形成於矽基材上。例如SiLK(杜邦化學之商標)之有機絕 緣膜係被旋塗於基材上至300奈米的厚度。此被熱處理並 硬化於400 °C之氮氣氛(N2)30分。SiLK(杜邦化學之商標 ),BCB(杜邦化學之商標),FLARE(阿來信號的商標),及 ν:Εί〇Χ(史馬克之商標)可以被使用作爲碳氫化合物爲主有機 -23- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X29*7公釐) 494531 A7 _____ B7五、發明説明(21 ) 絕緣膜材料,包含芳香族化合物。此製程之後,進行圖案化步 驟,以形成一接線凹槽7及連接孔1〇。如同於實施例1中,銅 接線2係被形成,及線保護膜1係被形成及淸洗。 具有80奈米膜厚之鈷合金係被均勻地沉積於實施例1 4 及24之半導體裝置之銅接線2之表面上。再者,於絕緣膜4 上未看到鈷合金沉積。因此,依據實施例14至23之電鍍製 程,線保護膜1可以只形成於銅接線2之表面上。如同於實 施例24之中,線保護膜1可以只形成於銅接線2之表面上,而 無關於絕緣膜4之類型。表3顯示每一實施例之線保護膜1 之成份的百分比。於實施例1 4及2 1中之回火溫度爲400 °C, 於實施例15至19,22及23之溫度爲450 °C,於實施例20及24 中爲500 °C。 (請先閲讀背面之注意事項再填寫本頁) 項再填六 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -24- 494531
A B 五、發明説明(22 ) 0sff 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 CQ CS CN 1/Ί CS1 r—i Τ—Η — — 一 — 1 1 1 1 1 ΟΝ r- 寸 OO CU 1 1 1 1 Ο 〇Ν 1 1 1 1 1 Η—1 1 1 1 CN 1 1 1 1 1 cn 1 0J 1 1 1 1 1 CN 1 1 1 1 〇 S 1 〇 1 1 1 1 1 1 cn 1 1 U m 1 1 1 1 1 1 Csl 1 1 1 κη ON 〇〇 〇〇 Ό as ON oo g oo ON 三氯化鉻:0.1莫耳/dm3 鉬酸二鈉:0.1莫耳/dm3 高銶酸銨:0.03莫耳/dm3 硝酸鉈:0.01莫耳/dm3 次磷酸:0.1莫耳/dm3 陡§ * « Ο CN Ο Ο 銮盔 5 w W Μ Mg O ^ 〇鍇 鍫翁 S § « « S窆 〇 111 E s ^ ^ 11 鍫鍫 •nil? uu ε g § W ? c〇 O o o rEZ *tA3 <m 鍫鍫 •nil? xEL 鎢酸鈉:0.03莫耳/dm3 --------·裝-- (請先閲讀背面之注意事項再填寫本頁)
、1T τ EP/3S0 Ό19ΗΗερ/ί!Μ90ο1Εέ1Μ ep/jrMrorszlill^ml: ερ/ίπτΜ lo^AJs i H^g/s oo ON CM g Ϊ i Ϊ m ρ 翠 囊 變 班 a ㈣ u -¾ 1¾ 1¾ in 木纸張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -25- 494531 Α7 Β7 五、發明説明(23) (請先閱讀背面之注意事項再填寫本頁) 依據上述步驟生產之實施例14至2 5之半導體裝置係 被回火於40(TC,450°C,及500°C,於22百分比氫及98百分比 氦之氣氛中30分鐘。 於回火於400°C後,於每一實施例之半導體裝置表面上 並未檢出銅。於示於表3之回火中,作爲接線材料銅的擴散 並未看到。這明顯可看出實施例14至23之線保護膜1具 有較實施例1之線保護膜1爲低之熱電阻,但於400t之加 熱溫度之形成銅接線2之製程中,防止銅擴散。再者,於400 °C之熱溫度前後接線電阻並沒改變。已經確認沒有由於銅 的氧化造成之接線電阻上升。 上述討論明顯看出,當於本實施例中之無電電鍍法被使 用時,銘-鎢-硼合金作爲銅接線2之線保護膜1可以以選擇 方式形成於銅接線2上。另外,銅的氧化及擴散可以被防止, 藉以取得高可靠度之半導體裝置。 (實施例25至35) 經濟部智慧財產局員工消費合作社印製 於實施例25至35中,半導體裝置係被產生,其中線保護 膜1係被形成於表4中之成份百分比中。然後,相同於實施 例6之服務壽命測試係被進行。於每一實施例中之半導體 裝置具有相同於實施例6中之半導體裝置之結構(第4圖)。 於實施例25中,低介電常數之有機絕緣膜係被使用作爲 示於第4圖之半導體裝置之絕緣膜4。所用之有機絕緣膜材 料爲由杜邦化學之SiLK(其介電常數係爲約2.65)。 -26 - 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) 494531 A7 B7 五、發明説明(24 ) 服務壽命測試 銅擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 未擴散 £ 1200小時 cn \〇 寸 寸 r- oo cn CN cn m 寸 1M] t^IuT Μ m 600小時 ψ i m C<l CN CN cn 1 < r—H CNl 1 1 _< CNl 鈷合金組成(原子%) PQ < i CN Csl ν/Ί csl < i i t i «i ,1 1 < cu 1 1 I 1 1 o 〇 1 1 1 1 oo 1 1 1 1 1 ON r- OO 1 1 1 1 csl 1 1 1 1 1 m οΰ 1 1 1 1 1 1 CN 1 1 1 ο 1 1 o 1 1 1 1 1 1 m 1 1 m 1 1 1 1 1 1 Csl 1 1 5S On oo oo un ON σ> oo g oo 實施例25 實施例26 實施例27 實施例28 實施例29 實施例30 實施例31 實施例32 實施例33 麵例34 實施例35 (請先閲讀背面之注意事項再填寫本頁) >裝. 訂 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -27 - 494531 A7 B7 五、發明説明(25 ) 表4之結果顯不於接線電阻上並未上升及銅未於半導 體裝置之絕緣膜4中檢出,該半導體裝置中,包含(1)鈷,(2)鉻, 鉬,鎢,銶,鉈及磷之至少之一及(3)硼之鈷合金膜係被形成作 爲銅接線2之線保護膜1。因此,實施例26至35之線保護膜 1係適用以長時間,及銅接線2之氧化及銅由銅接線2擴散入 絕緣膜4可以被避免。而可以獲得高可靠度之半導體裝置 〇 (實施例36至38及參考例4) 於上述實施例中,含⑴鈷,(2)鉻,鉬,鎢,銶,銳,及磷之至少 之一,及(3)硼係之鈷合金係被形成爲銅接線2之線保護膜1 。以下說明描述用於一阻障膜3之鈷合金膜之例子。 於實施例36之半導體裝置中,銘-鎢-硼合金係被使用作 爲一阻障膜3。於實施例36中,元件形成係被執行如於實施 例1中於矽基材上,以形成接線凹槽7及連接孔10(第lc圖) 。一作爲觸媒層之具有厚5奈米之鈷膜係以濺鍍法被形成 於接線凹槽7及連接孔1 〇上。此基材係被浸入於示於表5 中之鈷無電電鍍溶液中,並用以形成於實施例1中之線保護 膜1 。其係受到鈷無電電鍍,以形成鈷無電電鍍膜作爲阻障 膜3 °當線保護膜1被形成於實施例1中時,電鍍條件係相 同。於本實施例中,鈷-鎢-硼合金係藉由無電電鍍形成爲阻 障膜。本發明並未限定於此方法;潑鍍或化學氣相沉積法可 以使用。 然後,銅接線2係如同實施例丨藉由電鍍被形成(第lg圖 • 28- (請先閲讀背面之注意事項再填寫本頁) 本纸張尺度適财關家料(CNS )〜胁(21()><297公慶) 494531 A7 ____ B7___ 五、發明説明(26 ) (請先閲讀背面之注意事項再填寫本頁) )。然而,於實施例1中完成之銅種層之形成於本實施例中並 不需要。阻障膜3係用以作爲銅電鍍之電力饋送層,銅係被 直接鍍於阻障膜3上。然後,對阻障膜3進行化學機械硏磨, 如同於實施例1般,以分離接線導線。同時,銅接線2及下層 鈷無電電鍍膜係被確定以密實黏著,以忍受化學機械硏磨。 淸洗後,氮化矽(SiN)係被濺鍍形成至50奈米的厚度,作爲於 銅接線2上之線保護膜1。 於實施例37之半導體裝置中,鈷-鎢-硼合金不只被用於 阻障膜3同時也用於線保護膜1。於實施例36中,銅接線2 被形成,及進行化學機械硏磨。然後,銘-鎢-硼合金之線保護 膜1(60奈米厚)係被如實施例1中被使用。 於實施例38中,阻障膜3係被以用於實施例5中之鈷爲 主無電電鍍溶液加以形成。以下顯示電鍍條件: pH値:8.5(由四甲銨所調整) 溶液溫度:60 °C 電鍍時間:五分鐘胃 於參考例4中,一半導體裝置係被產生,其中阻障膜3係 由鈷-鎢-磷膜所作成。 一具有厚度50奈米(用於實施例36及37)之鈷-鎢-硼合 金膜及40奈米(用於實施例38)係被均勻地形成於依據上述 程序製程之實施例36至38之半導體裝置的接線凹槽7及連 接孔10之側壁及底面上。銅接線2係被觀察於100位置,未 看到孔隙。接線凹槽7及連接孔10係被看到完全被塡充以 銅。鈷合金沉積係未於絕緣膜4看到。因此,由鈷-鎢-硼合 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -29 - 494531 A7 B7 五、發明説明(27 ) 金所作成之阻障膜3之均勻形成可以依據實施例36至38之 電鍍程序形成。 然後,每一實施例之半導體裝置係被回火於5〇〇它30分 鐘,於2百分比氫及98百分比氦氣中。於每一實施例中,均沒 有在表面上檢出銅。未看到接線材料的銅的擴散。因此,銘· 鶴-棚合金係被作爲銅接線2之阻障膜3。 然後,服務壽命測試係進行於實施例3 6至3 8及參考例4 之半導體裝置上,如於實施例6之例子。每一半導體裝置具 有四層,如於第2圖所示。表5顯示每一實施例之阻障層3 之成份的百分比及接線可靠度之估計結果。 接線形狀U)線寬:0.1微米(b)膜寬:1·〇微米,(c)線長 2.5 測試條件(a)溫度Μ75 °C (b)電流密度:3 X 106安每平方 公分 (請先閲讀背面之注意事項再填寫本頁) ¥ % 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -30- i 494531 A7 B7 五、發明説明(28 1 [l I 銅擴散 未擴散 未擴散 未擴散 已擴散 阻障膜厚 〇 1 服務壽命測試 回火前後間之接線電阻比(%) 1200小時 2%或更低 2%或更低 CN 600小時 1%或更低 1%或更低 CNl CN 鈷合金組成(原子%) CO 1 4 \ τ· < 〇 1 塞 1 CU 1 1 1 oo un CN oo 3 ON ON VO s 實施例36 實施例37 實施例38 參考例4 裝 訂 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 31 494531 A7 _____B7_ 五、發明説明(29 ) (請先閲讀背面之注意事項再填寫本頁) 因此,由銅接線2來之銅擴散入絕緣膜4可以爲阻障膜3 所避免。實施例36至38之半導體裝置係特徵於長穩定度及 高可靠性。阻障層3具有較傳統使用,例如氮化鈦,氮化鉅,及 氮化鎢之氮化物金屬,高熔點金屬,例如鉅及鎢或其合金之膜 爲低之電阻。所以,於連接孔1 0之底部及其下之銅接線2間 之連接電阻可以比以前更小,及半導體裝置之接線電阻可以 降低。此阻障膜3可以用作爲用於電鍍銅之電力饋送層,銅 種層並不需要。這完成了銅接線2的形成、 於實施例36中,銘層係被濺鍍形成爲觸媒層。除了濺鍍 外,銘層也可以藉由濕方法形成。當一鈀層係以濕法形成,則 阻障膜3可以被形成於實施例36中。當除了鈷-鎢-硼合金 外,用於線保護膜1中之鈷層被使用爲實施例2至35中之阻 障膜3時,來自銅接線2之銅擴散入絕緣膜4可以被避免。 因此,可以取得高可靠度之半導體裝置。 (實施例39) 如於第5圖所示,實施例39之半導體裝置係被提供以作 爲層間之鈾刻停止層1 7及1 9之絕緣膜。如於第6圖所示,倉虫 刻停止層1 9可以只被提供於接線之頂端。蝕刻控制係藉由 提供蝕刻停止層加以完成。以下描述如何參考第7圖加以 生產。 第7圖顯示於第5或6圖之半導體裝置之接線之形成步 驟,使用雙層嵌入法。元件形成係形成於砂基材1 〇 a上作爲 絕緣膜,及具有厚度600奈米之第一絕緣膜18係形成於基材 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -32 - 494531 A7 B7 五、發明説明(3〇 ) (請先閲讀背面之注意事項再填寫本頁) 上(第10a圖),其中下層接線2b係被形成(第10b圖)。SiLK( 介電常數約2.65)係被旋塗於第一絕緣膜16上,及熱處理及 硬化係被執行於氮(N2)氣氛中,以約400 °C之溫度,30分。 然後,第一蝕刻停止層17係形成於第一絕緣膜16上(第 l〇c圖)。具有50奈米厚之甲基矽氧烷(介電常數約2.8)係藉 由電漿CVD形成於蝕刻停止層17上。此步驟後藉爲第二絕 緣膜18及第二蝕刻停止層19係被以相同方式形成(於第10d 圖)。第二絕緣膜18爲具有400奈米厚之SiLK,及第二蝕刻 停止層19係爲具有50奈米厚之甲基矽氧烷。
Si⑴膜20(50奈米)及氮化矽膜21(50奈米)係被形成於 第二蝕刻停止層1 9上。氮化矽膜2 1係使用光阻罩以電漿蝕 刻作出圖案(第10e圖)。然後,開口 22係以乾蝕刻,使用另一 光阻23加以形成(第10f圖)。 第二絕緣膜18係被氮,氫及混合氣體穿孔22與光阻23 加以蝕刻。隨後,氮化矽膜21係被遮罩,及SiCh膜20,甲基矽 氧院膜1 9,及第一蝕刻停止膜1 7係受到電漿蝕刻,使用 CM^CC^Ar,及〇2混合氣體。然後,接線凹槽7(0.2微米寬)及 連接孔10(直徑0.2微米)係藉由以氮及氫之混合氣體加以蝕 刻(第1 0g圖)。於此時,第一蝕刻停止膜1 9係爲蝕刻停止的 位置。 隨後,阻障膜3之形成係藉由濺鍍(第1 Oh圖),種層5之 形成,及銅接線2之形成係藉由電鍍銅(或銅接線2藉由無電 電鍍銅直接形成於阻障膜3上)及化學機械硏磨,及線保護膜 1之形成係被形成。當化學機械硏磨時,餘刻係停止於第二 本紙乐尺度適用中國國家標準(CNS ) A4規格(210X297公釐) · 33 - 494531 A7 _B7____ 五、發明説明(31 ) 蝕刻停止層1 9上。 阻障膜3及/或線保護膜1係以鈷合金膜加以形成,該合 金膜包含(1)鈷,(2)鉻,鉬,鶴,銶,銳,及磷之至少之一,及(3)硼, 如同於其他實施例般。 無機絕緣膜或有機絕緣膜均可以使用作爲絕緣膜,但有 機絕緣膜係較佳的。無機絕緣膜係較佳由具有例如SiO:,甲 基矽氧烷,倍半氧化物氫氧化物及甲基聚矽氧烷氫氧化物之 矽氧烷鍵之材料所作成,並可以藉由塗覆法及電漿CVD法作 成。無機絕緣膜可以由低介電常數之碳氫爲主有機絕緣材 料作成,其包含例如SlLK(杜邦化學之商標),BCB(杜邦化學之 商標),FLARE(阿來信號的商標),及VELOX(史馬克之商標)之 芳香族化合物。蝕刻停止層可以是由SiCh作成爲無機絕緣 膜,甲基矽氧烷,倍半氧化物氫氧化物,甲基聚矽氧烷,及其他 含矽氧烷鍵,氮化矽及碳化矽之材料。絕緣膜及蝕刻停止層 可以由這些材料之組合作成。爲了改良半導體裝置之接線 系統,較佳使用具有較傳羞使用Si〇2(介電常數約4.3)或氮化 矽(介電常數約7.0至9.0)爲低之介電常數的材料。 £ I :才 i 如於第6圖所示,當接線凹槽7被形成時,於蝕刻停止位 置中之有奈米程度之差。已經確認若蝕刻停止層1 9係只提 供於接線層之頂端時,則於服務壽命測試上沒有問題發生。 於依據上述生產方法所生產之半導體裝置中,銅接線2 之氧化及擴散可以藉由線保護膜1及阻障膜3所防止一長時 間,及於銅接線2之電阻增加可以被降低,藉以確保一高可靠 度。特別是,當鈷合金膜被使用作爲線保護膜1時,相較於高 -34- (請先閱讀背面之注意事項再填寫本頁) 本纸張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) 494531 A7 B7 五、發明説明(32 ) 介電常數之氮化矽被使用時,接線間之電容可以降低約10% 。這降低了信號傳送延遲。接線系統之效率係藉由使用低 介電常數之有機絕緣膜加以改良。 爲了連接連接孔10底部及其下之銅接線2b,絕緣線保護 膜係依據傳統方法所去除(見第1 0d圖)。再者,若線保護膜 保留,則連接故障可能依據傳統方式發生。若導電鈷合金膜 被使用作爲保護膜1,則電連接可以確保,而不必去除線保護 膜1。這使形成連接孔10中,容易蝕刻連線,而改良半導體裝 置可靠度。再者,銘合金膜具有較銅爲高之電阻。若於連接 孔1 0底部上之線保護膜1被至少部份去除,如於第1 1及1 2 圖所示,則有可能降低於下層銅接線2b及銅接線2間之連接 的電阻,而改良接線系統效率。更明確地說,於連接孔10之 底部上之鈷合金被分解並藉由在接線凹槽7及連接孔10形 成後,如於第7g圖所示,浸於重量百分比2之硫酸中加以去除 。於上層上之銅線係被形成,如於其他實施例般,結果,建立 於下層之銅線2b及銅線2間之電連接經阻障膜3,如於第1 1 圖所示。這係成功地降低施加至接線插塞1 1之電阻。 於被提供有銅接線之半導體裝置中,一覆蓋形成於絕緣· 膜中之銅接線之上方之線保護膜及一包圍該銅接線之側及 底部之阻障膜係被覆蓋以鈷合金膜,其包含(1)鈷,(2)鉻,鉬,鶴 ,銶,銳,及磷之至少之一,及(3)硼。然後,作爲接線的銅的氧 化及擴散可以被防止,藉以取得銅接線及元件的高可靠度。 再者,一線保護膜可以被形成,而不使用鈀,結果,由於鈀之增 加線阻的問題可以避免。 -35- (請先閲讀背面之注意事項再填寫本頁) 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 494531 A7 ___ B7 五、發明説明(33 ) 若鈷合金膜係被使用作爲線保護膜,則線保護膜可以以 選擇方式,只形成在銅接線上。同時,若阻障膜係被導電姑合 金膜所形成,則銅可以直接電鍍在阻障膜上,而不必電力饋送 層,藉以解決形成孔隙的問題並免除形成一種層之情形。 36 (請先閲讀背面之注意事項再填寫本頁} 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐)
Claims (1)
- 494531 A8 B8 C8 D8 六、申請專利範圍 1.一種半導體裝置,包含: (1) 多層銅線形成於一絕緣膜中, (請先閲讀背面之注意事項再填寫本X ) (2) —線保護膜覆蓋該銅線的頂部,及 (3) —阻障膜,包圍該銅接線的側及底部; 該半導體裝置特徵在於該線保護膜及阻障膜的至少之 一係由鈷合金膜及硼所形成,該鈷合金膜包含鉻,鉬,鎢,錬,銳 及磷之至少之一。 2·如申請專利範圍第丨項所述之半導體裝置,其中: 多數層之銅線係形成於絕緣膜中, 接線保護膜及阻障膜係被覆蓋以鈷合金膜,該膜包含(1) 鈷,(2)鉻,鉬,鎢,銶,銳及磷之至少之一,及⑶硼,及 該在上層上之銅線係經由該阻障層電氣連接至在下層 上之銅線。 3. 如申請專利範圍第1或2項所述之半導體裝置,其中: 該絕緣膜具有3或更少之介電常數。 4. 如申請專利範圍第1或2項所述之半導體裝置,其中 該鈷合金膜具有100奈米或更低之厚度,並包含 經濟部智慧財產局員工消費合作社印製 50至95原子百分比鈷, 1至40原子百分比之鉻,鉬,鎢,銶,銳及磷之至少之一,及 0.1至10原子百分比之硼。 5. 如申請專利範圍第1或2項所述之半導體裝置,其中: 多數層之銅線係形成於絕緣膜中, 接線保護膜及阻障膜係被覆蓋以鈷合金膜,該膜包含(1) 鈷,(2)絡,鉬,鎢,銶,蛇及碟之至少之一,及(3)硼,及 本纸張尺度逋用中國國家梂率(CNS ) A4規格(210X297公釐) -37 - 494531 A8 B8 C8 _____ D8 六、申請專利範圍 該在上層上之銅線係經由該鈷合金電氣連接至在下層 上之銅線。 (請先閱讀背面之注意事項再填寫本頁) 6.如申請專利範圍第1或2項所述之半導體裝置,其中 該作爲蝕刻停止層之絕緣膜係進一步形成在線保護膜所形 成之區域以外之絕緣膜的表面上。 7·如申請專利範圍第1或2項所述之半導體裝置,其中 該多數層之銅線係形成於絕緣膜中,一接線保護膜及阻障膜 係被覆蓋以鈷合金膜,該膜包含(1)鈷,(2)鉻,鉬,鎢,銶,銳及磷 之至少之一,及(3)硼,及該在上層上之銅線之至少一部份係 經由該阻障層電氣連接至在下層上之銅線。 8.如申請專利範圍第1項所述之半導體裝置,其中該鈷 合金並未包含鈀。 9·一種半導體裝置的生產方法,其中該半導體裝置包含: (1) 多層銅線形成於一絕緣膜中, (2) —線保護膜覆蓋該銅線的頂部,及 (3) —阻障膜,包圍該銅接線的側及底部; 經濟部智慧財產局員工消費合作社印製 其中至少線保護膜及阻障膜的至少之一係實質上藉由 無電電鍍由鈷合金膜及硼所形成,該鈷合金膜包含鉻,鉬,鎢, 銶,銳及磷之至少之一。 10·—種半導體裝置生產方法,其中該半導體裝置包含: (1) 多層銅線形成於一絕緣膜中, (2) —線保護膜覆蓋該銅線的頂部,及 (3) —阻障膜,包圍該銅接線的側及底部; 該半導體裝置生產方法更特徵在於 本紙張尺度逋用中國國家梂準(CNS ) A4規格(210X297公瘦)----- 494531 B8 C8 D8 六、申請專利範圍 #具有形成絕緣膜的步驟,該絕緣膜作爲在絕緣膜表面 上形成有銅接線之蝕刻停止層,及 該線保護膜及/或阻障膜係由無電電鍍法加以形成,該銘 合金膜包含(1)鈷,(2)鉻,鉬,鶴,鍊,銳及磷之至少之一,及(3)硼 〇 11·如申請專利範圍第9或10項所述之半導體裝置生產 方法,其中該銅接線係由無電電鍍作成。 12·如申請專利範圍第9或10項所述之半導體裝置生產 方法,其中該鈷合金膜具有100奈米或更低之厚度,並包含 50至95原子百分比鈷, 1至40原子百分比之鉻,組,鎢,銶,鉈及磷之至少之一,及 0.1至10原子百分比之硼。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度逋用中國國家揲準(CNS ) A4«l格(210X297公釐) -39 ·
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2000068615 | 2000-03-08 | ||
JP2000260977 | 2000-08-30 | ||
JP2001040640A JP3979791B2 (ja) | 2000-03-08 | 2001-02-16 | 半導体装置およびその製造方法 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW494531B true TW494531B (en) | 2002-07-11 |
Family
ID=27342640
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW090105332A TW494531B (en) | 2000-03-08 | 2001-03-07 | Semiconducting system and production method |
Country Status (4)
Country | Link |
---|---|
US (1) | US6680540B2 (zh) |
JP (1) | JP3979791B2 (zh) |
KR (1) | KR100553620B1 (zh) |
TW (1) | TW494531B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7827930B2 (en) | 2004-01-26 | 2010-11-09 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
Families Citing this family (303)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3400770B2 (ja) * | 1999-11-16 | 2003-04-28 | 松下電器産業株式会社 | エッチング方法、半導体装置及びその製造方法 |
US6640151B1 (en) | 1999-12-22 | 2003-10-28 | Applied Materials, Inc. | Multi-tool control system, method and medium |
TW476134B (en) * | 2000-02-22 | 2002-02-11 | Ibm | Method for forming dual-layer low dielectric barrier for interconnects and device formed |
US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
US6708074B1 (en) | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
KR20020034373A (ko) * | 2000-11-01 | 2002-05-09 | 박종섭 | 반도체소자의 금속배선 형성방법 |
US7188142B2 (en) | 2000-11-30 | 2007-03-06 | Applied Materials, Inc. | Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility |
US6977224B2 (en) * | 2000-12-28 | 2005-12-20 | Intel Corporation | Method of electroless introduction of interconnect structures |
US7698012B2 (en) | 2001-06-19 | 2010-04-13 | Applied Materials, Inc. | Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing |
US7160739B2 (en) | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
KR20040018558A (ko) * | 2001-08-13 | 2004-03-03 | 가부시키 가이샤 에바라 세이사꾸쇼 | 반도체장치와 그 제조방법 및 도금액 |
KR100805843B1 (ko) * | 2001-12-28 | 2008-02-21 | 에이에스엠지니텍코리아 주식회사 | 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템 |
US20030199112A1 (en) | 2002-03-22 | 2003-10-23 | Applied Materials, Inc. | Copper wiring module control |
US20030190426A1 (en) * | 2002-04-03 | 2003-10-09 | Deenesh Padhi | Electroless deposition method |
US6905622B2 (en) * | 2002-04-03 | 2005-06-14 | Applied Materials, Inc. | Electroless deposition method |
US6899816B2 (en) * | 2002-04-03 | 2005-05-31 | Applied Materials, Inc. | Electroless deposition method |
US20030207206A1 (en) * | 2002-04-22 | 2003-11-06 | General Electric Company | Limited play data storage media and method for limiting access to data thereon |
US6672716B2 (en) * | 2002-04-29 | 2004-01-06 | Xerox Corporation | Multiple portion solid ink stick |
US7008872B2 (en) * | 2002-05-03 | 2006-03-07 | Intel Corporation | Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures |
US6875691B2 (en) * | 2002-06-21 | 2005-04-05 | Mattson Technology, Inc. | Temperature control sequence of electroless plating baths |
US6800938B2 (en) * | 2002-08-08 | 2004-10-05 | International Business Machines Corporation | Semiconductor device having amorphous barrier layer for copper metallurgy |
US6821909B2 (en) * | 2002-10-30 | 2004-11-23 | Applied Materials, Inc. | Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application |
US6790775B2 (en) * | 2002-10-31 | 2004-09-14 | Hewlett-Packard Development Company, L.P. | Method of forming a through-substrate interconnect |
KR20040039591A (ko) * | 2002-11-04 | 2004-05-12 | 주식회사 하이닉스반도체 | 구리 확산방지막 형성방법 및 이를 이용한 구리배선제조방법 |
WO2004046835A2 (en) | 2002-11-15 | 2004-06-03 | Applied Materials, Inc. | Method, system and medium for controlling manufacture process having multivariate input parameters |
US7294934B2 (en) * | 2002-11-21 | 2007-11-13 | Intel Corporation | Low-K dielectric structure and method |
US20040108136A1 (en) * | 2002-12-04 | 2004-06-10 | International Business Machines Corporation | Structure comprising a barrier layer of a tungsten alloy comprising cobalt and/or nickel |
US7825516B2 (en) * | 2002-12-11 | 2010-11-02 | International Business Machines Corporation | Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures |
US6838354B2 (en) * | 2002-12-20 | 2005-01-04 | Freescale Semiconductor, Inc. | Method for forming a passivation layer for air gap formation |
US7091133B2 (en) * | 2003-01-27 | 2006-08-15 | Asm Japan K.K. | Two-step formation of etch stop layer |
US7094679B1 (en) * | 2003-03-11 | 2006-08-22 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Carbon nanotube interconnect |
US7026714B2 (en) * | 2003-03-18 | 2006-04-11 | Cunningham James A | Copper interconnect systems which use conductive, metal-based cap layers |
US7081673B2 (en) * | 2003-04-17 | 2006-07-25 | International Business Machines Corporation | Multilayered cap barrier in microelectronic interconnect structures |
US7883739B2 (en) * | 2003-06-16 | 2011-02-08 | Lam Research Corporation | Method for strengthening adhesion between dielectric layers formed adjacent to metal layers |
US7087104B2 (en) | 2003-06-26 | 2006-08-08 | Intel Corporation | Preparation of electroless deposition solutions |
JP4638140B2 (ja) * | 2003-07-09 | 2011-02-23 | マグナチップセミコンダクター有限会社 | 半導体素子の銅配線形成方法 |
JP2005036285A (ja) | 2003-07-15 | 2005-02-10 | Tokyo Electron Ltd | 無電解メッキ用前処理液及び無電解メッキ方法 |
JP2005048209A (ja) * | 2003-07-30 | 2005-02-24 | Hitachi Ltd | 無電解メッキ方法、無電解メッキ装置、半導体装置の製造方法及びその製造装置 |
JP2005056945A (ja) * | 2003-08-08 | 2005-03-03 | Hitachi Ltd | 半導体装置の製造方法 |
US7654221B2 (en) | 2003-10-06 | 2010-02-02 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7064065B2 (en) * | 2003-10-15 | 2006-06-20 | Applied Materials, Inc. | Silver under-layers for electroless cobalt alloys |
US20070111519A1 (en) * | 2003-10-15 | 2007-05-17 | Applied Materials, Inc. | Integrated electroless deposition system |
US7465358B2 (en) * | 2003-10-15 | 2008-12-16 | Applied Materials, Inc. | Measurement techniques for controlling aspects of a electroless deposition process |
JP4401912B2 (ja) * | 2003-10-17 | 2010-01-20 | 学校法人早稲田大学 | 半導体多層配線板の形成方法 |
US20050095830A1 (en) * | 2003-10-17 | 2005-05-05 | Applied Materials, Inc. | Selective self-initiating electroless capping of copper with cobalt-containing alloys |
TW200530427A (en) * | 2003-10-17 | 2005-09-16 | Applied Materials Inc | Selective self-initiating electroless capping of copper with cobalt-containing alloys |
JP4230334B2 (ja) * | 2003-10-31 | 2009-02-25 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
US7205233B2 (en) * | 2003-11-07 | 2007-04-17 | Applied Materials, Inc. | Method for forming CoWRe alloys by electroless deposition |
KR100570070B1 (ko) * | 2003-11-18 | 2006-04-10 | 매그나칩 반도체 유한회사 | 습기창을 구비한 구리배선의 신뢰성 측정용 테스트패턴 및그 제조 방법 |
US20060003570A1 (en) * | 2003-12-02 | 2006-01-05 | Arulkumar Shanmugasundram | Method and apparatus for electroless capping with vapor drying |
US7256111B2 (en) * | 2004-01-26 | 2007-08-14 | Applied Materials, Inc. | Pretreatment for electroless deposition |
US20050181226A1 (en) * | 2004-01-26 | 2005-08-18 | Applied Materials, Inc. | Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber |
US20050170650A1 (en) * | 2004-01-26 | 2005-08-04 | Hongbin Fang | Electroless palladium nitrate activation prior to cobalt-alloy deposition |
US20050161338A1 (en) * | 2004-01-26 | 2005-07-28 | Applied Materials, Inc. | Electroless cobalt alloy deposition process |
US20060033678A1 (en) * | 2004-01-26 | 2006-02-16 | Applied Materials, Inc. | Integrated electroless deposition system |
US7405147B2 (en) * | 2004-01-30 | 2008-07-29 | International Business Machines Corporation | Device and methodology for reducing effective dielectric constant in semiconductor devices |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20050253268A1 (en) * | 2004-04-22 | 2005-11-17 | Shao-Ta Hsu | Method and structure for improving adhesion between intermetal dielectric layer and cap layer |
JP3910973B2 (ja) | 2004-04-22 | 2007-04-25 | 株式会社東芝 | 半導体装置の製造方法 |
DE102004021239B4 (de) * | 2004-04-30 | 2017-04-06 | Infineon Technologies Ag | Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren |
US7268074B2 (en) * | 2004-06-14 | 2007-09-11 | Enthone, Inc. | Capping of metal interconnects in integrated circuit electronic devices |
US7714441B2 (en) * | 2004-08-09 | 2010-05-11 | Lam Research | Barrier layer configurations and methods for processing microelectronic topographies having barrier layers |
JP2006093357A (ja) * | 2004-09-22 | 2006-04-06 | Ebara Corp | 半導体装置及びその製造方法、並びに処理液 |
US7332193B2 (en) * | 2004-10-18 | 2008-02-19 | Enthone, Inc. | Cobalt and nickel electroless plating in microelectronic devices |
US7879710B2 (en) * | 2005-05-18 | 2011-02-01 | Intermolecular, Inc. | Substrate processing including a masking layer |
JP2006179845A (ja) | 2004-11-26 | 2006-07-06 | Fuji Photo Film Co Ltd | 金属用研磨液及び研磨方法 |
KR100668833B1 (ko) * | 2004-12-17 | 2007-01-16 | 주식회사 하이닉스반도체 | 반도체소자의 캐패시터 제조방법 |
JP4963349B2 (ja) * | 2005-01-14 | 2012-06-27 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US20060240187A1 (en) * | 2005-01-27 | 2006-10-26 | Applied Materials, Inc. | Deposition of an intermediate catalytic layer on a barrier layer for copper metallization |
US7422979B2 (en) * | 2005-03-11 | 2008-09-09 | Freescale Semiconductor, Inc. | Method of forming a semiconductor device having a diffusion barrier stack and structure thereof |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
US7666773B2 (en) * | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
EP1702965A3 (en) * | 2005-03-17 | 2007-07-25 | FUJIFILM Corporation | Metal chemical mechanical polishing solution and polishing method |
US7651934B2 (en) | 2005-03-18 | 2010-01-26 | Applied Materials, Inc. | Process for electroless copper deposition |
TW200734482A (en) * | 2005-03-18 | 2007-09-16 | Applied Materials Inc | Electroless deposition process on a contact containing silicon or silicide |
US20060246699A1 (en) * | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Process for electroless copper deposition on a ruthenium seed |
US7514353B2 (en) * | 2005-03-18 | 2009-04-07 | Applied Materials, Inc. | Contact metallization scheme using a barrier layer over a silicide layer |
JP4790297B2 (ja) * | 2005-04-06 | 2011-10-12 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US20060280860A1 (en) * | 2005-06-09 | 2006-12-14 | Enthone Inc. | Cobalt electroless plating in microelectronic devices |
US8154131B2 (en) * | 2005-06-14 | 2012-04-10 | Cufer Asset Ltd. L.L.C. | Profiled contact |
US20060278996A1 (en) * | 2005-06-14 | 2006-12-14 | John Trezza | Active packaging |
US7781886B2 (en) | 2005-06-14 | 2010-08-24 | John Trezza | Electronic chip contact structure |
US7687400B2 (en) * | 2005-06-14 | 2010-03-30 | John Trezza | Side stacking apparatus and method |
US20060281303A1 (en) * | 2005-06-14 | 2006-12-14 | John Trezza | Tack & fuse chip bonding |
US7786592B2 (en) | 2005-06-14 | 2010-08-31 | John Trezza | Chip capacitive coupling |
US8456015B2 (en) * | 2005-06-14 | 2013-06-04 | Cufer Asset Ltd. L.L.C. | Triaxial through-chip connection |
US7215032B2 (en) * | 2005-06-14 | 2007-05-08 | Cubic Wafer, Inc. | Triaxial through-chip connection |
US7851348B2 (en) * | 2005-06-14 | 2010-12-14 | Abhay Misra | Routingless chip architecture |
US7560813B2 (en) | 2005-06-14 | 2009-07-14 | John Trezza | Chip-based thermo-stack |
US7838997B2 (en) * | 2005-06-14 | 2010-11-23 | John Trezza | Remote chip attachment |
US20070014919A1 (en) * | 2005-07-15 | 2007-01-18 | Jani Hamalainen | Atomic layer deposition of noble metal oxides |
JP2007027460A (ja) * | 2005-07-19 | 2007-02-01 | Sony Corp | 半導体装置及びその製造方法 |
US7410899B2 (en) * | 2005-09-20 | 2008-08-12 | Enthone, Inc. | Defectivity and process control of electroless deposition in microelectronics applications |
WO2007035880A2 (en) * | 2005-09-21 | 2007-03-29 | Applied Materials, Inc. | Method and apparatus for forming device features in an integrated electroless deposition system |
US7582557B2 (en) | 2005-10-06 | 2009-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Process for low resistance metal cap |
US20070099422A1 (en) * | 2005-10-28 | 2007-05-03 | Kapila Wijekoon | Process for electroless copper deposition |
US20070099806A1 (en) * | 2005-10-28 | 2007-05-03 | Stewart Michael P | Composition and method for selectively removing native oxide from silicon-containing surfaces |
KR101379015B1 (ko) | 2006-02-15 | 2014-03-28 | 한국에이에스엠지니텍 주식회사 | 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층 |
US20070210448A1 (en) * | 2006-03-10 | 2007-09-13 | International Business Machines Corporation | Electroless cobalt-containing liner for middle-of-the-line (mol) applications |
US7348648B2 (en) * | 2006-03-13 | 2008-03-25 | International Business Machines Corporation | Interconnect structure with a barrier-redundancy feature |
US7800228B2 (en) * | 2006-05-17 | 2010-09-21 | International Business Machines Corporation | Reliable via contact interconnect structure |
US7687397B2 (en) * | 2006-06-06 | 2010-03-30 | John Trezza | Front-end processed wafer having through-chip connections |
US20070281460A1 (en) * | 2006-06-06 | 2007-12-06 | Cubic Wafer, Inc. | Front-end processed wafer having through-chip connections |
EP2038922A2 (en) * | 2006-06-21 | 2009-03-25 | Nxp B.V. | Semiconductor device for low-power applications and a method of manufacturing thereof |
JP2008060243A (ja) * | 2006-08-30 | 2008-03-13 | Nec Electronics Corp | 半導体装置およびその製造方法 |
US7435484B2 (en) * | 2006-09-01 | 2008-10-14 | Asm Japan K.K. | Ruthenium thin film-formed structure |
US20080083623A1 (en) * | 2006-10-04 | 2008-04-10 | Golden Josh H | Method and apparatus for treatment of plating solutions |
US7601264B2 (en) * | 2006-10-04 | 2009-10-13 | Applied Materials, Inc. | Method for treatment of plating solutions |
US20080124484A1 (en) * | 2006-11-08 | 2008-05-29 | Asm Japan K.K. | Method of forming ru film and metal wiring structure |
WO2008083284A2 (en) | 2006-12-29 | 2008-07-10 | Cufer Asset Ltd. L.L.C. | Front-end processed wafer having through-chip connections |
US20080157910A1 (en) * | 2006-12-29 | 2008-07-03 | Park Chang-Min | Amorphous soft magnetic layer for on-die inductively coupled wires |
JP2008172051A (ja) * | 2007-01-12 | 2008-07-24 | Nec Electronics Corp | 半導体装置およびその製造方法 |
US7670874B2 (en) * | 2007-02-16 | 2010-03-02 | John Trezza | Plated pillar package formation |
EP1978128A2 (en) * | 2007-03-29 | 2008-10-08 | Ebara Corporation | Electroless plating bath and method for producing high-temperature apparatus member using the bath |
US7777344B2 (en) | 2007-04-11 | 2010-08-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transitional interface between metal and dielectric in interconnect structures |
US20080254205A1 (en) * | 2007-04-13 | 2008-10-16 | Enthone Inc. | Self-initiated alkaline metal ion free electroless deposition composition for thin co-based and ni-based alloys |
KR100857229B1 (ko) * | 2007-05-28 | 2008-09-05 | 삼성전자주식회사 | 반도체 소자 및 그 형성방법 |
US7867900B2 (en) | 2007-09-28 | 2011-01-11 | Applied Materials, Inc. | Aluminum contact integration on cobalt silicide junction |
US20090087339A1 (en) * | 2007-09-28 | 2009-04-02 | Asm Japan K.K. | METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR |
KR101544198B1 (ko) | 2007-10-17 | 2015-08-12 | 한국에이에스엠지니텍 주식회사 | 루테늄 막 형성 방법 |
US7655564B2 (en) * | 2007-12-12 | 2010-02-02 | Asm Japan, K.K. | Method for forming Ta-Ru liner layer for Cu wiring |
KR20090067505A (ko) * | 2007-12-21 | 2009-06-25 | 에이에스엠지니텍코리아 주식회사 | 루테늄막 증착 방법 |
US7799674B2 (en) * | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
US7956466B2 (en) | 2008-05-09 | 2011-06-07 | International Business Machines Corporation | Structure for interconnect structure containing various capping materials for electrical fuse and other related applications |
US8772156B2 (en) * | 2008-05-09 | 2014-07-08 | International Business Machines Corporation | Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications |
EP2309025B1 (en) * | 2008-08-07 | 2012-09-26 | JX Nippon Mining & Metals Corporation | Plated object with copper thin film formed by electroless plating |
US8084104B2 (en) * | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
DE102008044988A1 (de) * | 2008-08-29 | 2010-04-22 | Advanced Micro Devices, Inc., Sunnyvale | Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US20100104770A1 (en) * | 2008-10-27 | 2010-04-29 | Asm Japan K.K. | Two-step formation of hydrocarbon-based polymer film |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
US20110020546A1 (en) * | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
KR101616044B1 (ko) * | 2009-07-03 | 2016-04-28 | 삼성전자주식회사 | 무전해 도금에 의해 형성된 랜딩 패드를 포함한 반도체 소자 |
US8329569B2 (en) * | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
US20110127673A1 (en) * | 2009-12-01 | 2011-06-02 | International Business Machines Corporation | Wiring structure and method |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US8569888B2 (en) | 2011-05-24 | 2013-10-29 | International Business Machines Corporation | Wiring structure and method of forming the structure |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US10134631B2 (en) * | 2011-08-17 | 2018-11-20 | International Business Machines Corporation | Size-filtered multimetal structures |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US20140199497A1 (en) * | 2013-01-14 | 2014-07-17 | Tighe A. Spurlin | Methods for reducing metal oxide surfaces to modified metal surfaces |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9865501B2 (en) | 2013-03-06 | 2018-01-09 | Lam Research Corporation | Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9514986B2 (en) * | 2013-08-28 | 2016-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device with capped through-substrate via structure |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US20150235953A1 (en) * | 2014-02-14 | 2015-08-20 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and formation thereof |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9469912B2 (en) | 2014-04-21 | 2016-10-18 | Lam Research Corporation | Pretreatment method for photoresist wafer processing |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US11685999B2 (en) * | 2014-06-02 | 2023-06-27 | Macdermid Acumen, Inc. | Aqueous electroless nickel plating bath and method of using the same |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9349691B2 (en) * | 2014-07-24 | 2016-05-24 | International Business Machines Corporation | Semiconductor device with reduced via resistance |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US11195798B2 (en) * | 2014-07-25 | 2021-12-07 | Intel Corporation | Tungsten alloys in semiconductor devices |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9472377B2 (en) | 2014-10-17 | 2016-10-18 | Lam Research Corporation | Method and apparatus for characterizing metal oxide reduction |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10443146B2 (en) | 2017-03-30 | 2019-10-15 | Lam Research Corporation | Monitoring surface oxide on seed layers during electroplating |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10181421B1 (en) * | 2017-07-12 | 2019-01-15 | Globalfoundries Inc. | Liner recess for fully aligned via |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10593599B2 (en) | 2018-03-07 | 2020-03-17 | Globalfoundries Inc. | Contact structures |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11043454B2 (en) | 2019-01-17 | 2021-06-22 | Samsung Electronics Co., Ltd. | Low resistivity interconnects with doped barrier layer for integrated circuits |
KR20210004231A (ko) | 2019-07-03 | 2021-01-13 | 삼성전자주식회사 | 집적회로 소자 및 이의 제조 방법 |
KR102370279B1 (ko) * | 2020-05-29 | 2022-03-04 | 고려대학교 산학협력단 | 코발트-텅스텐 합금 및 그의 제조 방법 |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH01116906A (ja) | 1987-10-29 | 1989-05-09 | Matsushita Electric Ind Co Ltd | 浮動形磁気ヘッド |
JP2798566B2 (ja) | 1992-10-07 | 1998-09-17 | 京セラ株式会社 | 回路基板の製造方法 |
JP3326698B2 (ja) * | 1993-03-19 | 2002-09-24 | 富士通株式会社 | 集積回路装置の製造方法 |
US5382447A (en) * | 1993-12-02 | 1995-01-17 | International Business Machines Corporation | Process for fabricating improved multilayer interconnect systems |
KR0144085B1 (ko) | 1994-12-05 | 1998-08-17 | 김주용 | 반도체 소자의 금속배선 형성방법 |
JP3556377B2 (ja) | 1996-02-29 | 2004-08-18 | 京セラ株式会社 | 配線基板 |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
US6100184A (en) | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
JP2000049116A (ja) | 1998-07-30 | 2000-02-18 | Toshiba Corp | 半導体装置及びその製造方法 |
US6083842A (en) * | 1999-02-19 | 2000-07-04 | Advanced Micro Devices Inc. | Fabrication of a via plug having high aspect ratio with a diffusion barrier layer effectively surrounding the via plug |
US6342733B1 (en) | 1999-07-27 | 2002-01-29 | International Business Machines Corporation | Reduced electromigration and stressed induced migration of Cu wires by surface coating |
US6153935A (en) | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects |
US6335104B1 (en) | 2000-02-22 | 2002-01-01 | International Business Machines Corporation | Method for preparing a conductive pad for electrical connection and conductive pad formed |
-
2001
- 2001-02-16 JP JP2001040640A patent/JP3979791B2/ja not_active Expired - Fee Related
- 2001-03-07 US US09/799,533 patent/US6680540B2/en not_active Expired - Lifetime
- 2001-03-07 TW TW090105332A patent/TW494531B/zh not_active IP Right Cessation
- 2001-03-08 KR KR1020010011926A patent/KR100553620B1/ko not_active IP Right Cessation
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7827930B2 (en) | 2004-01-26 | 2010-11-09 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
Also Published As
Publication number | Publication date |
---|---|
KR100553620B1 (ko) | 2006-02-22 |
JP3979791B2 (ja) | 2007-09-19 |
US6680540B2 (en) | 2004-01-20 |
KR20010100810A (ko) | 2001-11-14 |
US20010030366A1 (en) | 2001-10-18 |
JP2002151518A (ja) | 2002-05-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW494531B (en) | Semiconducting system and production method | |
CN109844930B (zh) | 以钌衬垫改善铜电迁移的经掺杂选择性金属覆盖 | |
TW452835B (en) | Manufacture of semiconductor device | |
US7405143B2 (en) | Method for fabricating a seed layer | |
JP4055319B2 (ja) | 半導体装置の製造方法 | |
US8372739B2 (en) | Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication | |
TW441015B (en) | Dual-damascene interconnect structures and methods for fabricating same | |
US9786604B2 (en) | Metal cap apparatus and method | |
TW201709293A (zh) | 用於內連線的釕金屬特徵部填補 | |
TW201721749A (zh) | 利用膦酸自組裝單層作為成核抑制劑的選擇性二氧化矽沉積 | |
TW508743B (en) | Semiconductor device and manufacturing method thereof | |
TWI374482B (zh) | ||
TWI694501B (zh) | 防止銅擴散的介電/金屬阻障集成 | |
TW200525633A (en) | Multi-step plasma treatment method to improve cu interconnect electrical performance | |
JP2005056945A (ja) | 半導体装置の製造方法 | |
TW200810015A (en) | Method for fabricating a integrated circuit | |
US20090166867A1 (en) | Metal interconnect structures for semiconductor devices | |
TWI483382B (zh) | 半導體結構及其形成方法 | |
JP5823359B2 (ja) | 半導体装置の製造方法 | |
TWI236092B (en) | Electroless plating process, and embedded wire and forming process thereof | |
JP2002053971A (ja) | めっき方法及びめっき構造、並びに半導体装置の製造方法及び半導体装置 | |
KR101076927B1 (ko) | 반도체 소자의 구리 배선 구조 및 그 형성방법 | |
JP2001284355A (ja) | 半導体装置およびその製造方法 | |
JP5428151B2 (ja) | 半導体装置の製造方法 | |
JP4740071B2 (ja) | 半導体装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |