KR100553620B1 - 반도체 장치 및 제조 방법 - Google Patents
반도체 장치 및 제조 방법 Download PDFInfo
- Publication number
- KR100553620B1 KR100553620B1 KR1020010011926A KR20010011926A KR100553620B1 KR 100553620 B1 KR100553620 B1 KR 100553620B1 KR 1020010011926 A KR1020010011926 A KR 1020010011926A KR 20010011926 A KR20010011926 A KR 20010011926A KR 100553620 B1 KR100553620 B1 KR 100553620B1
- Authority
- KR
- South Korea
- Prior art keywords
- wiring
- film
- semiconductor device
- copper
- cobalt
- Prior art date
Links
- 238000004519 manufacturing process Methods 0.000 title claims description 18
- 229910052802 copper Inorganic materials 0.000 claims abstract description 188
- 239000010949 copper Substances 0.000 claims abstract description 188
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims abstract description 187
- 239000004065 semiconductor Substances 0.000 claims abstract description 90
- 230000001681 protective effect Effects 0.000 claims abstract description 77
- 230000004888 barrier function Effects 0.000 claims abstract description 76
- 229910000531 Co alloy Inorganic materials 0.000 claims abstract description 44
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims abstract description 41
- 229910017052 cobalt Inorganic materials 0.000 claims abstract description 40
- 239000010941 cobalt Substances 0.000 claims abstract description 40
- 238000007772 electroless plating Methods 0.000 claims abstract description 33
- 239000010937 tungsten Substances 0.000 claims abstract description 32
- 229910052721 tungsten Inorganic materials 0.000 claims abstract description 32
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims abstract description 28
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 claims abstract description 18
- 229910052698 phosphorus Inorganic materials 0.000 claims abstract description 18
- 239000011574 phosphorus Substances 0.000 claims abstract description 18
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims abstract description 16
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 claims abstract description 16
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims abstract description 16
- 229910052796 boron Inorganic materials 0.000 claims abstract description 16
- 229910052804 chromium Inorganic materials 0.000 claims abstract description 16
- 239000011651 chromium Substances 0.000 claims abstract description 16
- 229910052750 molybdenum Inorganic materials 0.000 claims abstract description 16
- 239000011733 molybdenum Substances 0.000 claims abstract description 16
- 229910052716 thallium Inorganic materials 0.000 claims abstract description 16
- 229910052702 rhenium Inorganic materials 0.000 claims abstract description 15
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 claims abstract description 15
- BKVIYDNLLOSFOA-UHFFFAOYSA-N thallium Chemical compound [Tl] BKVIYDNLLOSFOA-UHFFFAOYSA-N 0.000 claims abstract description 15
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims description 34
- 238000000034 method Methods 0.000 claims description 32
- -1 tungsten nitride Chemical class 0.000 claims description 22
- 239000000463 material Substances 0.000 claims description 21
- 229910052763 palladium Inorganic materials 0.000 claims description 17
- 229910052751 metal Inorganic materials 0.000 claims description 15
- 239000002184 metal Substances 0.000 claims description 15
- 238000005530 etching Methods 0.000 claims description 10
- 229910045601 alloy Inorganic materials 0.000 claims description 9
- 239000000956 alloy Substances 0.000 claims description 9
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 7
- 230000008018 melting Effects 0.000 claims description 7
- 238000002844 melting Methods 0.000 claims description 7
- 229910052715 tantalum Inorganic materials 0.000 claims description 7
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 7
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 7
- 150000002739 metals Chemical class 0.000 claims description 6
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 3
- 239000010936 titanium Substances 0.000 claims description 3
- 229910052719 titanium Inorganic materials 0.000 claims description 3
- 238000009792 diffusion process Methods 0.000 abstract description 31
- 230000003647 oxidation Effects 0.000 abstract description 11
- 238000007254 oxidation reaction Methods 0.000 abstract description 11
- 239000010410 layer Substances 0.000 description 70
- 238000007747 plating Methods 0.000 description 44
- 229910000521 B alloy Inorganic materials 0.000 description 20
- CPJYFACXEHYLFS-UHFFFAOYSA-N [B].[W].[Co] Chemical compound [B].[W].[Co] CPJYFACXEHYLFS-UHFFFAOYSA-N 0.000 description 20
- 239000000758 substrate Substances 0.000 description 18
- 230000015572 biosynthetic process Effects 0.000 description 14
- 239000000126 substance Substances 0.000 description 14
- 238000005498 polishing Methods 0.000 description 12
- 230000008569 process Effects 0.000 description 10
- 229910004298 SiO 2 Inorganic materials 0.000 description 9
- 238000004544 sputter deposition Methods 0.000 description 9
- 238000012360 testing method Methods 0.000 description 9
- 229910052581 Si3N4 Inorganic materials 0.000 description 8
- 239000007789 gas Substances 0.000 description 8
- 239000000203 mixture Substances 0.000 description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- FEBFYWHXKVOHDI-UHFFFAOYSA-N [Co].[P][W] Chemical compound [Co].[P][W] FEBFYWHXKVOHDI-UHFFFAOYSA-N 0.000 description 7
- 239000012298 atmosphere Substances 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 7
- 239000001257 hydrogen Substances 0.000 description 7
- 229910052739 hydrogen Inorganic materials 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 6
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 6
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 6
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 6
- 239000003638 chemical reducing agent Substances 0.000 description 6
- 238000000137 annealing Methods 0.000 description 5
- 239000003054 catalyst Substances 0.000 description 5
- 238000000151 deposition Methods 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- 239000001307 helium Substances 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 5
- 239000007788 liquid Substances 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 5
- 239000007769 metal material Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- KWSLGOVYXMQPPX-UHFFFAOYSA-N 5-[3-(trifluoromethyl)phenyl]-2h-tetrazole Chemical compound FC(F)(F)C1=CC=CC(C2=NNN=N2)=C1 KWSLGOVYXMQPPX-UHFFFAOYSA-N 0.000 description 3
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 3
- 239000004215 Carbon black (E152) Substances 0.000 description 3
- WSFSSNUMVMOOMR-UHFFFAOYSA-N Formaldehyde Chemical compound O=C WSFSSNUMVMOOMR-UHFFFAOYSA-N 0.000 description 3
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 3
- 239000000654 additive Substances 0.000 description 3
- 229910052783 alkali metal Inorganic materials 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 238000009713 electroplating Methods 0.000 description 3
- 229930195733 hydrocarbon Natural products 0.000 description 3
- 150000002430 hydrocarbons Chemical class 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 3
- MEFBJEMVZONFCJ-UHFFFAOYSA-N molybdate Chemical compound [O-][Mo]([O-])(=O)=O MEFBJEMVZONFCJ-UHFFFAOYSA-N 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 238000000682 scanning probe acoustic microscopy Methods 0.000 description 3
- 229910052708 sodium Inorganic materials 0.000 description 3
- 239000011734 sodium Substances 0.000 description 3
- 229910001379 sodium hypophosphite Inorganic materials 0.000 description 3
- XMVONEAAOPAGAO-UHFFFAOYSA-N sodium tungstate Chemical compound [Na+].[Na+].[O-][W]([O-])(=O)=O XMVONEAAOPAGAO-UHFFFAOYSA-N 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- ZIQYWMNGCHHWLT-UHFFFAOYSA-K C(CC(O)(C(=O)[O-])CC(=O)[O-])(=O)[O-].[Na+].[W+4] Chemical compound C(CC(O)(C(=O)[O-])CC(=O)[O-])(=O)[O-].[Na+].[W+4] ZIQYWMNGCHHWLT-UHFFFAOYSA-K 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- 239000002202 Polyethylene glycol Substances 0.000 description 2
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 2
- 230000000996 additive effect Effects 0.000 description 2
- 235000011114 ammonium hydroxide Nutrition 0.000 description 2
- 150000001491 aromatic compounds Chemical class 0.000 description 2
- RJTANRZEWTUVMA-UHFFFAOYSA-N boron;n-methylmethanamine Chemical compound [B].CNC RJTANRZEWTUVMA-UHFFFAOYSA-N 0.000 description 2
- GVPFVAHMJGGAJG-UHFFFAOYSA-L cobalt dichloride Chemical compound [Cl-].[Cl-].[Co+2] GVPFVAHMJGGAJG-UHFFFAOYSA-L 0.000 description 2
- 239000008139 complexing agent Substances 0.000 description 2
- 229910000365 copper sulfate Inorganic materials 0.000 description 2
- ARUVKPQLZAKDPS-UHFFFAOYSA-L copper(II) sulfate Chemical compound [Cu+2].[O-][S+2]([O-])([O-])[O-] ARUVKPQLZAKDPS-UHFFFAOYSA-L 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- HFTNNOZFRQLFQB-UHFFFAOYSA-N ethenoxy(trimethyl)silane Chemical compound C[Si](C)(C)OC=C HFTNNOZFRQLFQB-UHFFFAOYSA-N 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 150000002926 oxygen Chemical class 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229920001223 polyethylene glycol Polymers 0.000 description 2
- 229910052700 potassium Inorganic materials 0.000 description 2
- 239000011591 potassium Substances 0.000 description 2
- 150000003839 salts Chemical class 0.000 description 2
- 230000008054 signal transmission Effects 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 239000004094 surface-active agent Substances 0.000 description 2
- QEMXHQIAXOOASZ-UHFFFAOYSA-N tetramethylammonium Chemical compound C[N+](C)(C)C QEMXHQIAXOOASZ-UHFFFAOYSA-N 0.000 description 2
- UMGDCJDMYOKAJW-UHFFFAOYSA-N thiourea Chemical compound NC(N)=S UMGDCJDMYOKAJW-UHFFFAOYSA-N 0.000 description 2
- CMPGARWFYBADJI-UHFFFAOYSA-L tungstic acid Chemical compound O[W](O)(=O)=O CMPGARWFYBADJI-UHFFFAOYSA-L 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- JYHRLWMNMMXIHF-UHFFFAOYSA-N (tert-butylamino)boron Chemical compound [B]NC(C)(C)C JYHRLWMNMMXIHF-UHFFFAOYSA-N 0.000 description 1
- ASUDFOJKTJLAIK-UHFFFAOYSA-N 2-methoxyethanamine Chemical compound COCCN ASUDFOJKTJLAIK-UHFFFAOYSA-N 0.000 description 1
- PMJNEQWWZRSFCE-UHFFFAOYSA-N 3-ethoxy-3-oxo-2-(thiophen-2-ylmethyl)propanoic acid Chemical compound CCOC(=O)C(C(O)=O)CC1=CC=CS1 PMJNEQWWZRSFCE-UHFFFAOYSA-N 0.000 description 1
- MQIWYGZSHIXQIU-UHFFFAOYSA-O 3-phosphopropylazanium Chemical compound NCCC[P+](O)=O MQIWYGZSHIXQIU-UHFFFAOYSA-O 0.000 description 1
- HLLSOEKIMZEGFV-UHFFFAOYSA-N 4-(dibutylsulfamoyl)benzoic acid Chemical compound CCCCN(CCCC)S(=O)(=O)C1=CC=C(C(O)=O)C=C1 HLLSOEKIMZEGFV-UHFFFAOYSA-N 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- FVEBQRPNXIWEFI-UHFFFAOYSA-N B([O-])([O-])[O-].[Mo+4].B([O-])([O-])[O-].B([O-])([O-])[O-].B([O-])([O-])[O-].[Mo+4].[Mo+4] Chemical compound B([O-])([O-])[O-].[Mo+4].B([O-])([O-])[O-].B([O-])([O-])[O-].B([O-])([O-])[O-].[Mo+4].[Mo+4] FVEBQRPNXIWEFI-UHFFFAOYSA-N 0.000 description 1
- KXTVFSDQZZXLDA-UHFFFAOYSA-N B([O-])([O-])[O-].[W+4].B([O-])([O-])[O-].B([O-])([O-])[O-].B([O-])([O-])[O-].[W+4].[W+4] Chemical compound B([O-])([O-])[O-].[W+4].B([O-])([O-])[O-].B([O-])([O-])[O-].B([O-])([O-])[O-].[W+4].[W+4] KXTVFSDQZZXLDA-UHFFFAOYSA-N 0.000 description 1
- VVVFLBUDJOJVEU-UHFFFAOYSA-N B.N1=CC=CC=C1.B.N1CCCCC1 Chemical compound B.N1=CC=CC=C1.B.N1CCCCC1 VVVFLBUDJOJVEU-UHFFFAOYSA-N 0.000 description 1
- NUGDCSKHHGSKMA-UHFFFAOYSA-N B.c1c[nH]cn1 Chemical compound B.c1c[nH]cn1 NUGDCSKHHGSKMA-UHFFFAOYSA-N 0.000 description 1
- ROFVEXUMMXZLPA-UHFFFAOYSA-N Bipyridyl Chemical group N1=CC=CC=C1C1=CC=CC=N1 ROFVEXUMMXZLPA-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- KRKNYBCHXYNGOX-UHFFFAOYSA-K Citrate Chemical compound [O-]C(=O)CC(O)(CC([O-])=O)C([O-])=O KRKNYBCHXYNGOX-UHFFFAOYSA-K 0.000 description 1
- FEWJPZIEWOKRBE-JCYAYHJZSA-N Dextrotartaric acid Chemical compound OC(=O)[C@H](O)[C@@H](O)C(O)=O FEWJPZIEWOKRBE-JCYAYHJZSA-N 0.000 description 1
- OFOBLEOULBTSOW-UHFFFAOYSA-L Malonate Chemical compound [O-]C(=O)CC([O-])=O OFOBLEOULBTSOW-UHFFFAOYSA-L 0.000 description 1
- 229910001096 P alloy Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- WGLPBDUCMAPZCE-UHFFFAOYSA-N Trioxochromium Chemical compound O=[Cr](=O)=O WGLPBDUCMAPZCE-UHFFFAOYSA-N 0.000 description 1
- XSQUKJJJFZCRTK-UHFFFAOYSA-N Urea Natural products NC(N)=O XSQUKJJJFZCRTK-UHFFFAOYSA-N 0.000 description 1
- 240000008042 Zea mays Species 0.000 description 1
- JEIKFNJICCLSJH-UHFFFAOYSA-H [K+].[K+].[K+].[K+].[K+].[K+].[O-][Re](Cl)(=O)=O.[O-][Re](Cl)(=O)=O.[O-][Re](Cl)(=O)=O.[O-][Re](Cl)(=O)=O.[O-][Re](Cl)(=O)=O.[O-][Re](Cl)(=O)=O Chemical compound [K+].[K+].[K+].[K+].[K+].[K+].[O-][Re](Cl)(=O)=O.[O-][Re](Cl)(=O)=O.[O-][Re](Cl)(=O)=O.[O-][Re](Cl)(=O)=O.[O-][Re](Cl)(=O)=O.[O-][Re](Cl)(=O)=O JEIKFNJICCLSJH-UHFFFAOYSA-H 0.000 description 1
- NLSVOBIZLDPPEV-UHFFFAOYSA-N [Si]([O-])([O-])([O-])O[Si]([O-])([O-])[O-].[W+6] Chemical compound [Si]([O-])([O-])([O-])O[Si]([O-])([O-])[O-].[W+6] NLSVOBIZLDPPEV-UHFFFAOYSA-N 0.000 description 1
- 230000002159 abnormal effect Effects 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 150000008044 alkali metal hydroxides Chemical class 0.000 description 1
- 150000001340 alkali metals Chemical class 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 239000011609 ammonium molybdate Substances 0.000 description 1
- 235000018660 ammonium molybdate Nutrition 0.000 description 1
- APUPEJJSWDHEBO-UHFFFAOYSA-P ammonium molybdate Chemical compound [NH4+].[NH4+].[O-][Mo]([O-])(=O)=O APUPEJJSWDHEBO-UHFFFAOYSA-P 0.000 description 1
- 229940010552 ammonium molybdate Drugs 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 239000003963 antioxidant agent Substances 0.000 description 1
- 230000003078 antioxidant effect Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- NMSCOPXXYKZHEF-UHFFFAOYSA-N azane trihydrate Chemical compound O.O.[OH-].[NH4+] NMSCOPXXYKZHEF-UHFFFAOYSA-N 0.000 description 1
- XIVUXDNQPIGCAP-UHFFFAOYSA-K azanium chromium(3+) disulfate dodecahydrate Chemical compound O.O.O.O.O.O.O.O.O.O.O.O.S(=O)(=O)([O-])[O-].[Cr+3].[NH4+].S(=O)(=O)([O-])[O-] XIVUXDNQPIGCAP-UHFFFAOYSA-K 0.000 description 1
- UORVGPXVDQYIDP-BJUDXGSMSA-N borane Chemical compound [10BH3] UORVGPXVDQYIDP-BJUDXGSMSA-N 0.000 description 1
- 229910000085 borane Inorganic materials 0.000 description 1
- VDTVZBCTOQDZSH-UHFFFAOYSA-N borane N-ethylethanamine Chemical compound B.CCNCC VDTVZBCTOQDZSH-UHFFFAOYSA-N 0.000 description 1
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 description 1
- 239000004327 boric acid Substances 0.000 description 1
- 150000001639 boron compounds Chemical class 0.000 description 1
- QELVBRYVPXJQMT-UHFFFAOYSA-N boron;ethane-1,2-diamine Chemical compound [B].NCCN QELVBRYVPXJQMT-UHFFFAOYSA-N 0.000 description 1
- YJROYUJAFGZMJA-UHFFFAOYSA-N boron;morpholine Chemical compound [B].C1COCCN1 YJROYUJAFGZMJA-UHFFFAOYSA-N 0.000 description 1
- NNTOJPXOCKCMKR-UHFFFAOYSA-N boron;pyridine Chemical compound [B].C1=CC=NC=C1 NNTOJPXOCKCMKR-UHFFFAOYSA-N 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 238000006555 catalytic reaction Methods 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- OEYIOHPDSNJKLS-UHFFFAOYSA-N choline Chemical compound C[N+](C)(C)CCO OEYIOHPDSNJKLS-UHFFFAOYSA-N 0.000 description 1
- 229960001231 choline Drugs 0.000 description 1
- 150000001844 chromium Chemical class 0.000 description 1
- 229910000423 chromium oxide Inorganic materials 0.000 description 1
- LJAOOBNHPFKCDR-UHFFFAOYSA-K chromium(3+) trichloride hexahydrate Chemical compound O.O.O.O.O.O.[Cl-].[Cl-].[Cl-].[Cr+3] LJAOOBNHPFKCDR-UHFFFAOYSA-K 0.000 description 1
- GRWVQDDAKZFPFI-UHFFFAOYSA-H chromium(III) sulfate Chemical compound [Cr+3].[Cr+3].[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O GRWVQDDAKZFPFI-UHFFFAOYSA-H 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 150000001868 cobalt Chemical class 0.000 description 1
- UFMZWBIQTDUYBN-UHFFFAOYSA-N cobalt dinitrate Chemical compound [Co+2].[O-][N+]([O-])=O.[O-][N+]([O-])=O UFMZWBIQTDUYBN-UHFFFAOYSA-N 0.000 description 1
- 229910001981 cobalt nitrate Inorganic materials 0.000 description 1
- INPLXZPZQSLHBR-UHFFFAOYSA-N cobalt(2+);sulfide Chemical compound [S-2].[Co+2] INPLXZPZQSLHBR-UHFFFAOYSA-N 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- ZXEMRGUTUHLXAP-UHFFFAOYSA-N ethylenediaminebisborane Chemical compound [B-][NH2+]CC[NH2+][B-] ZXEMRGUTUHLXAP-UHFFFAOYSA-N 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- UESISTHQAYQMRA-UHFFFAOYSA-M formyloxythallium Chemical compound [Tl+].[O-]C=O UESISTHQAYQMRA-UHFFFAOYSA-M 0.000 description 1
- 238000013007 heat curing Methods 0.000 description 1
- 229910001385 heavy metal Inorganic materials 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 229940049920 malate Drugs 0.000 description 1
- BJEPYKJPYRNKOW-UHFFFAOYSA-N malic acid Chemical compound OC(=O)C(O)CC(O)=O BJEPYKJPYRNKOW-UHFFFAOYSA-N 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 150000002751 molybdenum Chemical class 0.000 description 1
- 229910000476 molybdenum oxide Inorganic materials 0.000 description 1
- PDKHNCYLMVRIFV-UHFFFAOYSA-H molybdenum;hexachloride Chemical compound [Cl-].[Cl-].[Cl-].[Cl-].[Cl-].[Cl-].[Mo] PDKHNCYLMVRIFV-UHFFFAOYSA-H 0.000 description 1
- VLAPMBHFAWRUQP-UHFFFAOYSA-L molybdic acid Chemical compound O[Mo](O)(=O)=O VLAPMBHFAWRUQP-UHFFFAOYSA-L 0.000 description 1
- FYWSTUCDSVYLPV-UHFFFAOYSA-N nitrooxythallium Chemical compound [Tl+].[O-][N+]([O-])=O FYWSTUCDSVYLPV-UHFFFAOYSA-N 0.000 description 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 1
- WKMKTIVRRLOHAJ-UHFFFAOYSA-N oxygen(2-);thallium(1+) Chemical compound [O-2].[Tl+].[Tl+] WKMKTIVRRLOHAJ-UHFFFAOYSA-N 0.000 description 1
- PIBWKRNGBLPSSY-UHFFFAOYSA-L palladium(II) chloride Chemical compound Cl[Pd]Cl PIBWKRNGBLPSSY-UHFFFAOYSA-L 0.000 description 1
- ACVYVLVWPXVTIT-UHFFFAOYSA-N phosphinic acid Chemical compound O[PH2]=O ACVYVLVWPXVTIT-UHFFFAOYSA-N 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 150000003281 rhenium Chemical class 0.000 description 1
- CVHZOJJKTDOEJC-UHFFFAOYSA-N saccharin Chemical compound C1=CC=C2C(=O)NS(=O)(=O)C2=C1 CVHZOJJKTDOEJC-UHFFFAOYSA-N 0.000 description 1
- 229940081974 saccharin Drugs 0.000 description 1
- 235000019204 saccharin Nutrition 0.000 description 1
- 239000000901 saccharin and its Na,K and Ca salt Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000012279 sodium borohydride Substances 0.000 description 1
- 229910000033 sodium borohydride Inorganic materials 0.000 description 1
- 239000001509 sodium citrate Substances 0.000 description 1
- FDEIWTXVNPKYDL-UHFFFAOYSA-N sodium molybdate dihydrate Chemical compound O.O.[Na+].[Na+].[O-][Mo]([O-])(=O)=O FDEIWTXVNPKYDL-UHFFFAOYSA-N 0.000 description 1
- KOUDKOMXLMXFKX-UHFFFAOYSA-N sodium oxido(oxo)phosphanium hydrate Chemical compound O.[Na+].[O-][PH+]=O KOUDKOMXLMXFKX-UHFFFAOYSA-N 0.000 description 1
- KDYFGRWQOYBRFD-UHFFFAOYSA-L succinate(2-) Chemical compound [O-]C(=O)CCC([O-])=O KDYFGRWQOYBRFD-UHFFFAOYSA-L 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 229940095064 tartrate Drugs 0.000 description 1
- 150000003475 thallium Chemical class 0.000 description 1
- GBECUEIQVRDUKB-UHFFFAOYSA-M thallium monochloride Chemical compound [Tl]Cl GBECUEIQVRDUKB-UHFFFAOYSA-M 0.000 description 1
- 229910003438 thallium oxide Inorganic materials 0.000 description 1
- UORVGPXVDQYIDP-UHFFFAOYSA-N trihydridoboron Substances B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 1
- HRXKRNGNAMMEHJ-UHFFFAOYSA-K trisodium citrate Chemical compound [Na+].[Na+].[Na+].[O-]C(=O)CC(O)(CC([O-])=O)C([O-])=O HRXKRNGNAMMEHJ-UHFFFAOYSA-K 0.000 description 1
- 229940038773 trisodium citrate Drugs 0.000 description 1
- 150000003657 tungsten Chemical class 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/288—Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/288—Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
- H01L21/2885—Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76864—Thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76865—Selective removal of parts of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76874—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemically Coating (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
Description
코발트 합금 조성(원자%) | 수명 테스트 | |||||||
어닐링 전과 어닐링 후 사이의 배선 저항의 비(%) | 구리 확산 | |||||||
Co | W | P | O | B | 600시간 | 1200시간 | ||
실시예6 | 79 | 20 | - | - | 1 | 1% 이하 | 2% 이하 | 확산없음 |
실시예7 | 81 | 18 | - | - | 1 | 1 | 3 | 확산없음 |
실시예8 | 85 | 13 | - | - | 2 | 2 | 4 | 확산없음 |
실시예9 | 65 | 34 | - | - | 1 | 2 | 4 | 확산없음 |
실시예10 | 98 | 1 | - | - | 1 | 3 | 6 | 확산없음 |
실시예11 | 79.8 | 20 | - | - | 0.1 | 1 | 4 | 확산없음 |
실시예12 | 74 | 20 | - | - | 6 | 2 | 3 | 확산없음 |
실시예13 | 70 | 18 | - | 11 | 1 | 2 | 5 | 확산없음 |
참조예2 | 배선 보호막층 없음 | 16 | 30 | 확산 | ||||
참조예3 | 84 | 8 | 8 | - | 6 | 15 | 확산 |
Claims (17)
- 반도체 장치에 있어서,절연막 내에 형성된 다층의 구리 배선;상기 구리 배선의 상면을 덮는 배선 보호막; 및상기 구리 배선의 측면 및 저면을 둘러싼 배리어막을 포함하고,상기 배선 보호막 및 상기 배리어막 중 적어도 하나는, 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, 및 붕소를 함유하는 코발트를 주 성분으로 하는 코발트 합금막으로 형성된 것을 특징으로 하는 반도체 장치.
- 절연막 중에 다층으로 형성된 구리 배선과, 상기 구리 배선 상을 피복하는 배선 보호막과, 상기 구리 배선의 측면 및 저면을 둘러싸는 배리어막을 포함하는 반도체 장치에 있어서,상기 배선 보호막은 크롬; 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나; 및 붕소를 함유하는 코발트 합금막으로 이루어지고, 상기 배리어막은 티타늄, 탄탈륨 및 텅스텐, 이들의 금속으로 이루어지는 합금, 질화 티타늄, 질화 탄탈륨 및 질화 텅스텐 중에서 선택되는 하나의 고융점 재료로 이루어지는 것을 특징으로 하는 반도체 장치.
- 제1항 또는 제2항에 있어서, 상기 절연막은 3 이하의 유전율을 갖는 것을 특 징으로 하는 반도체 장치.
- 제1항 또는 제2항에 있어서, 상기 코발트 합금막은 100㎚ 이하의 바람직한 두께를 갖고, 50 내지 95 원자%의 코발트와, 1 내지 40 원자%의 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나와, 0.1 내지 10 원자%의 붕소를 함유하는 것을 특징으로 하는 반도체 장치.
- 제1항 또는 제2항에 있어서,하층의 구리 배선과 상층의 구리 배선은 상기 코발트 합금막을 통해 전기적 접속이 확보되어 있는 것을 특징으로 하는 반도체 장치.
- 제1항 또는 제2항에 있어서, 상기 배선 보호막이 형성된 영역을 제외한 상기 절연막의 표면 상에 상기 절연막에 대한 에칭을 정지시키는 에칭 정지층으로서 기능하는 절연막을 또한 형성하는 것을 특징으로 하는 반도체 장치.
- 제1항 또는 제2항에 있어서,하층의 구리 배선과 상층의 구리 배선은, 티타늄, 탄탈륨 및 텅스텐, 이들 금속으로 이루어지는 합금, 질화 티타늄, 질화 탄탈륨 및 질화 텅스텐 중에서 선택되는 하나의 고융점 재료로 이루어지는 상기 배리어막을 통해 전기적 접속이 확보되어 있는 것을 특징으로 하는 반도체 장치.
- 제1항 또는 제2항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
- 반도체 장치의 제조 방법에 있어서,상기 반도체 장치는,절연막 내에 형성된 다층의 구리 배선;상기 구리 배선의 상면을 덮는 배선 보호막; 및상기 구리 배선의 측면 및 저면을 둘러싼 배리어막을 포함하고,상기 배선 보호막 및 상기 배리어막 중 적어도 하나는, 실질적으로 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, 및 붕소를 함유하는 코발트를 주 성분으로 하는 코발트 합금막으로 무전해 도금에 의해 형성된 것을 특징으로 하는 반도체 장치의 제조 방법.
- 반도체 장치의 제조 방법에 있어서,상기 반도체 장치는,절연막 내에 형성된 다층의 구리 배선;상기 구리 배선의 상면을 덮는 배선 보호막; 및상기 구리 배선의 측면 및 저면을 둘러싼 배리어막을 포함하고,상기 구리 배선이 형성되는 절연막의 표면 상에, 에칭 정지층으로서 기능하는 절연막을 형성하는 단계를 포함하며,상기 배선 보호막 및/또는 상기 배리어막은, (1) 코발트, (2) 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, 및 (3) 붕소를 함유하는 코발트 합금막으로서 무전해 도금 방법(electroless plating method)에 의해 형성된 것을 특징으로 하는 반도체 장치의 제조 방법.
- 제9항 또는 제10항에 있어서, 상기 구리 배선은 무전해 도금에 의해 제조되는 것을 특징으로 하는 반도체 장치의 제조 방법.
- 제9항 또는 제10항에 있어서, 상기 코발트 합금막은 100㎚ 이하의 바람직한 두께를 갖고, 50 내지 95 원자%의 코발트와, 1 내지 40 원자%의 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나와, 0.1 내지 10 원자%의 붕소를 함유하는 반도체 장치의 제조 방법.
- 제3항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
- 제4항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
- 제5항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
- 제6항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
- 제7항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2000-068615 | 2000-03-08 | ||
JP2000068615 | 2000-03-08 | ||
JP2000260977 | 2000-08-30 | ||
JP2000-260977 | 2000-08-30 | ||
JP2001-040640 | 2001-02-16 | ||
JP2001040640A JP3979791B2 (ja) | 2000-03-08 | 2001-02-16 | 半導体装置およびその製造方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20010100810A KR20010100810A (ko) | 2001-11-14 |
KR100553620B1 true KR100553620B1 (ko) | 2006-02-22 |
Family
ID=27342640
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020010011926A KR100553620B1 (ko) | 2000-03-08 | 2001-03-08 | 반도체 장치 및 제조 방법 |
Country Status (4)
Country | Link |
---|---|
US (1) | US6680540B2 (ko) |
JP (1) | JP3979791B2 (ko) |
KR (1) | KR100553620B1 (ko) |
TW (1) | TW494531B (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20170038765A (ko) * | 2014-07-25 | 2017-04-07 | 인텔 코포레이션 | 반도체 디바이스의 텅스텐 합금 |
Families Citing this family (303)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3400770B2 (ja) * | 1999-11-16 | 2003-04-28 | 松下電器産業株式会社 | エッチング方法、半導体装置及びその製造方法 |
US6640151B1 (en) | 1999-12-22 | 2003-10-28 | Applied Materials, Inc. | Multi-tool control system, method and medium |
TW476134B (en) * | 2000-02-22 | 2002-02-11 | Ibm | Method for forming dual-layer low dielectric barrier for interconnects and device formed |
US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
US6708074B1 (en) | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
KR20020034373A (ko) * | 2000-11-01 | 2002-05-09 | 박종섭 | 반도체소자의 금속배선 형성방법 |
US7188142B2 (en) | 2000-11-30 | 2007-03-06 | Applied Materials, Inc. | Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility |
US6977224B2 (en) | 2000-12-28 | 2005-12-20 | Intel Corporation | Method of electroless introduction of interconnect structures |
US7160739B2 (en) | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US7698012B2 (en) | 2001-06-19 | 2010-04-13 | Applied Materials, Inc. | Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing |
KR20040018558A (ko) * | 2001-08-13 | 2004-03-03 | 가부시키 가이샤 에바라 세이사꾸쇼 | 반도체장치와 그 제조방법 및 도금액 |
KR100805843B1 (ko) * | 2001-12-28 | 2008-02-21 | 에이에스엠지니텍코리아 주식회사 | 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템 |
US20030199112A1 (en) | 2002-03-22 | 2003-10-23 | Applied Materials, Inc. | Copper wiring module control |
US6899816B2 (en) * | 2002-04-03 | 2005-05-31 | Applied Materials, Inc. | Electroless deposition method |
US6905622B2 (en) * | 2002-04-03 | 2005-06-14 | Applied Materials, Inc. | Electroless deposition method |
US20030190426A1 (en) * | 2002-04-03 | 2003-10-09 | Deenesh Padhi | Electroless deposition method |
US20030207206A1 (en) * | 2002-04-22 | 2003-11-06 | General Electric Company | Limited play data storage media and method for limiting access to data thereon |
US6672716B2 (en) * | 2002-04-29 | 2004-01-06 | Xerox Corporation | Multiple portion solid ink stick |
US7008872B2 (en) | 2002-05-03 | 2006-03-07 | Intel Corporation | Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures |
US6875691B2 (en) * | 2002-06-21 | 2005-04-05 | Mattson Technology, Inc. | Temperature control sequence of electroless plating baths |
US6800938B2 (en) * | 2002-08-08 | 2004-10-05 | International Business Machines Corporation | Semiconductor device having amorphous barrier layer for copper metallurgy |
US6821909B2 (en) * | 2002-10-30 | 2004-11-23 | Applied Materials, Inc. | Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application |
US6790775B2 (en) * | 2002-10-31 | 2004-09-14 | Hewlett-Packard Development Company, L.P. | Method of forming a through-substrate interconnect |
KR20040039591A (ko) * | 2002-11-04 | 2004-05-12 | 주식회사 하이닉스반도체 | 구리 확산방지막 형성방법 및 이를 이용한 구리배선제조방법 |
AU2003290932A1 (en) | 2002-11-15 | 2004-06-15 | Applied Materials, Inc. | Method, system and medium for controlling manufacture process having multivariate input parameters |
US7294934B2 (en) * | 2002-11-21 | 2007-11-13 | Intel Corporation | Low-K dielectric structure and method |
US20040108136A1 (en) * | 2002-12-04 | 2004-06-10 | International Business Machines Corporation | Structure comprising a barrier layer of a tungsten alloy comprising cobalt and/or nickel |
US7825516B2 (en) * | 2002-12-11 | 2010-11-02 | International Business Machines Corporation | Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures |
US6838354B2 (en) * | 2002-12-20 | 2005-01-04 | Freescale Semiconductor, Inc. | Method for forming a passivation layer for air gap formation |
US7091133B2 (en) * | 2003-01-27 | 2006-08-15 | Asm Japan K.K. | Two-step formation of etch stop layer |
US7094679B1 (en) * | 2003-03-11 | 2006-08-22 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Carbon nanotube interconnect |
US7026714B2 (en) * | 2003-03-18 | 2006-04-11 | Cunningham James A | Copper interconnect systems which use conductive, metal-based cap layers |
US7081673B2 (en) * | 2003-04-17 | 2006-07-25 | International Business Machines Corporation | Multilayered cap barrier in microelectronic interconnect structures |
US7883739B2 (en) * | 2003-06-16 | 2011-02-08 | Lam Research Corporation | Method for strengthening adhesion between dielectric layers formed adjacent to metal layers |
US7087104B2 (en) * | 2003-06-26 | 2006-08-08 | Intel Corporation | Preparation of electroless deposition solutions |
JP4638140B2 (ja) * | 2003-07-09 | 2011-02-23 | マグナチップセミコンダクター有限会社 | 半導体素子の銅配線形成方法 |
JP2005036285A (ja) | 2003-07-15 | 2005-02-10 | Tokyo Electron Ltd | 無電解メッキ用前処理液及び無電解メッキ方法 |
JP2005048209A (ja) * | 2003-07-30 | 2005-02-24 | Hitachi Ltd | 無電解メッキ方法、無電解メッキ装置、半導体装置の製造方法及びその製造装置 |
JP2005056945A (ja) * | 2003-08-08 | 2005-03-03 | Hitachi Ltd | 半導体装置の製造方法 |
US7827930B2 (en) | 2004-01-26 | 2010-11-09 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7654221B2 (en) | 2003-10-06 | 2010-02-02 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7465358B2 (en) * | 2003-10-15 | 2008-12-16 | Applied Materials, Inc. | Measurement techniques for controlling aspects of a electroless deposition process |
US20070111519A1 (en) * | 2003-10-15 | 2007-05-17 | Applied Materials, Inc. | Integrated electroless deposition system |
US7064065B2 (en) * | 2003-10-15 | 2006-06-20 | Applied Materials, Inc. | Silver under-layers for electroless cobalt alloys |
TW200530427A (en) * | 2003-10-17 | 2005-09-16 | Applied Materials Inc | Selective self-initiating electroless capping of copper with cobalt-containing alloys |
JP4401912B2 (ja) * | 2003-10-17 | 2010-01-20 | 学校法人早稲田大学 | 半導体多層配線板の形成方法 |
US20050095830A1 (en) * | 2003-10-17 | 2005-05-05 | Applied Materials, Inc. | Selective self-initiating electroless capping of copper with cobalt-containing alloys |
JP4230334B2 (ja) * | 2003-10-31 | 2009-02-25 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
US7205233B2 (en) * | 2003-11-07 | 2007-04-17 | Applied Materials, Inc. | Method for forming CoWRe alloys by electroless deposition |
KR100570070B1 (ko) * | 2003-11-18 | 2006-04-10 | 매그나칩 반도체 유한회사 | 습기창을 구비한 구리배선의 신뢰성 측정용 테스트패턴 및그 제조 방법 |
US20060003570A1 (en) * | 2003-12-02 | 2006-01-05 | Arulkumar Shanmugasundram | Method and apparatus for electroless capping with vapor drying |
US20050161338A1 (en) * | 2004-01-26 | 2005-07-28 | Applied Materials, Inc. | Electroless cobalt alloy deposition process |
US20050181226A1 (en) * | 2004-01-26 | 2005-08-18 | Applied Materials, Inc. | Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber |
US7256111B2 (en) * | 2004-01-26 | 2007-08-14 | Applied Materials, Inc. | Pretreatment for electroless deposition |
US20060033678A1 (en) * | 2004-01-26 | 2006-02-16 | Applied Materials, Inc. | Integrated electroless deposition system |
US20050170650A1 (en) * | 2004-01-26 | 2005-08-04 | Hongbin Fang | Electroless palladium nitrate activation prior to cobalt-alloy deposition |
US7405147B2 (en) * | 2004-01-30 | 2008-07-29 | International Business Machines Corporation | Device and methodology for reducing effective dielectric constant in semiconductor devices |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20050253268A1 (en) * | 2004-04-22 | 2005-11-17 | Shao-Ta Hsu | Method and structure for improving adhesion between intermetal dielectric layer and cap layer |
JP3910973B2 (ja) | 2004-04-22 | 2007-04-25 | 株式会社東芝 | 半導体装置の製造方法 |
DE102004021239B4 (de) * | 2004-04-30 | 2017-04-06 | Infineon Technologies Ag | Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren |
US7268074B2 (en) * | 2004-06-14 | 2007-09-11 | Enthone, Inc. | Capping of metal interconnects in integrated circuit electronic devices |
WO2006020566A1 (en) * | 2004-08-09 | 2006-02-23 | Blue29, Llc | Methods for forming a barrier layer with periodic concentrations of elements and structures resulting therefrom and systems and method affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes |
JP2006093357A (ja) * | 2004-09-22 | 2006-04-06 | Ebara Corp | 半導体装置及びその製造方法、並びに処理液 |
US7332193B2 (en) * | 2004-10-18 | 2008-02-19 | Enthone, Inc. | Cobalt and nickel electroless plating in microelectronic devices |
US7879710B2 (en) * | 2005-05-18 | 2011-02-01 | Intermolecular, Inc. | Substrate processing including a masking layer |
JP2006179845A (ja) | 2004-11-26 | 2006-07-06 | Fuji Photo Film Co Ltd | 金属用研磨液及び研磨方法 |
KR100668833B1 (ko) * | 2004-12-17 | 2007-01-16 | 주식회사 하이닉스반도체 | 반도체소자의 캐패시터 제조방법 |
JP4963349B2 (ja) * | 2005-01-14 | 2012-06-27 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US20060240187A1 (en) * | 2005-01-27 | 2006-10-26 | Applied Materials, Inc. | Deposition of an intermediate catalytic layer on a barrier layer for copper metallization |
US7422979B2 (en) * | 2005-03-11 | 2008-09-09 | Freescale Semiconductor, Inc. | Method of forming a semiconductor device having a diffusion barrier stack and structure thereof |
US7666773B2 (en) | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
EP1702965A3 (en) * | 2005-03-17 | 2007-07-25 | FUJIFILM Corporation | Metal chemical mechanical polishing solution and polishing method |
US20060246217A1 (en) * | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Electroless deposition process on a silicide contact |
US7651934B2 (en) | 2005-03-18 | 2010-01-26 | Applied Materials, Inc. | Process for electroless copper deposition |
US20060246699A1 (en) * | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Process for electroless copper deposition on a ruthenium seed |
WO2006102180A2 (en) * | 2005-03-18 | 2006-09-28 | Applied Materials, Inc. | Contact metallization methods and processes |
JP4790297B2 (ja) * | 2005-04-06 | 2011-10-12 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US20060280860A1 (en) * | 2005-06-09 | 2006-12-14 | Enthone Inc. | Cobalt electroless plating in microelectronic devices |
US7687400B2 (en) * | 2005-06-14 | 2010-03-30 | John Trezza | Side stacking apparatus and method |
US7946331B2 (en) * | 2005-06-14 | 2011-05-24 | Cufer Asset Ltd. L.L.C. | Pin-type chip tooling |
US20060281303A1 (en) * | 2005-06-14 | 2006-12-14 | John Trezza | Tack & fuse chip bonding |
US7767493B2 (en) * | 2005-06-14 | 2010-08-03 | John Trezza | Post & penetration interconnection |
US20060278996A1 (en) * | 2005-06-14 | 2006-12-14 | John Trezza | Active packaging |
US7851348B2 (en) * | 2005-06-14 | 2010-12-14 | Abhay Misra | Routingless chip architecture |
US8456015B2 (en) * | 2005-06-14 | 2013-06-04 | Cufer Asset Ltd. L.L.C. | Triaxial through-chip connection |
US7838997B2 (en) * | 2005-06-14 | 2010-11-23 | John Trezza | Remote chip attachment |
US7560813B2 (en) | 2005-06-14 | 2009-07-14 | John Trezza | Chip-based thermo-stack |
US7786592B2 (en) * | 2005-06-14 | 2010-08-31 | John Trezza | Chip capacitive coupling |
US7781886B2 (en) | 2005-06-14 | 2010-08-24 | John Trezza | Electronic chip contact structure |
US20070014919A1 (en) * | 2005-07-15 | 2007-01-18 | Jani Hamalainen | Atomic layer deposition of noble metal oxides |
JP2007027460A (ja) * | 2005-07-19 | 2007-02-01 | Sony Corp | 半導体装置及びその製造方法 |
US7410899B2 (en) * | 2005-09-20 | 2008-08-12 | Enthone, Inc. | Defectivity and process control of electroless deposition in microelectronics applications |
WO2007035880A2 (en) * | 2005-09-21 | 2007-03-29 | Applied Materials, Inc. | Method and apparatus for forming device features in an integrated electroless deposition system |
US7582557B2 (en) | 2005-10-06 | 2009-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Process for low resistance metal cap |
US20070099806A1 (en) * | 2005-10-28 | 2007-05-03 | Stewart Michael P | Composition and method for selectively removing native oxide from silicon-containing surfaces |
US20070099422A1 (en) * | 2005-10-28 | 2007-05-03 | Kapila Wijekoon | Process for electroless copper deposition |
KR101379015B1 (ko) | 2006-02-15 | 2014-03-28 | 한국에이에스엠지니텍 주식회사 | 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층 |
US20070210448A1 (en) * | 2006-03-10 | 2007-09-13 | International Business Machines Corporation | Electroless cobalt-containing liner for middle-of-the-line (mol) applications |
US7348648B2 (en) * | 2006-03-13 | 2008-03-25 | International Business Machines Corporation | Interconnect structure with a barrier-redundancy feature |
US7800228B2 (en) * | 2006-05-17 | 2010-09-21 | International Business Machines Corporation | Reliable via contact interconnect structure |
US7687397B2 (en) * | 2006-06-06 | 2010-03-30 | John Trezza | Front-end processed wafer having through-chip connections |
US20070281460A1 (en) * | 2006-06-06 | 2007-12-06 | Cubic Wafer, Inc. | Front-end processed wafer having through-chip connections |
CN101473427A (zh) * | 2006-06-21 | 2009-07-01 | Nxp股份有限公司 | 低功率应用的半导体器件及其制造方法 |
JP2008060243A (ja) * | 2006-08-30 | 2008-03-13 | Nec Electronics Corp | 半導体装置およびその製造方法 |
US7435484B2 (en) * | 2006-09-01 | 2008-10-14 | Asm Japan K.K. | Ruthenium thin film-formed structure |
US7601264B2 (en) * | 2006-10-04 | 2009-10-13 | Applied Materials, Inc. | Method for treatment of plating solutions |
US20080083623A1 (en) * | 2006-10-04 | 2008-04-10 | Golden Josh H | Method and apparatus for treatment of plating solutions |
US20080124484A1 (en) * | 2006-11-08 | 2008-05-29 | Asm Japan K.K. | Method of forming ru film and metal wiring structure |
US20080157910A1 (en) * | 2006-12-29 | 2008-07-03 | Park Chang-Min | Amorphous soft magnetic layer for on-die inductively coupled wires |
KR101088926B1 (ko) | 2006-12-29 | 2011-12-01 | 쿠퍼 에셋 엘티디. 엘.엘.씨. | 쓰루-칩 연결부들을 지닌 프론트-엔드 공정처리된 웨이퍼 |
JP2008172051A (ja) * | 2007-01-12 | 2008-07-24 | Nec Electronics Corp | 半導体装置およびその製造方法 |
US7670874B2 (en) | 2007-02-16 | 2010-03-02 | John Trezza | Plated pillar package formation |
RU2008111820A (ru) * | 2007-03-29 | 2009-10-10 | Ибара Корпорейшн (JP) | Электролит для нанесения гальванических покрытий методом химического восстановления и способ получения элемента высокотемпературного устройства с применением такого электролита |
US7777344B2 (en) | 2007-04-11 | 2010-08-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transitional interface between metal and dielectric in interconnect structures |
US20080254205A1 (en) * | 2007-04-13 | 2008-10-16 | Enthone Inc. | Self-initiated alkaline metal ion free electroless deposition composition for thin co-based and ni-based alloys |
KR100857229B1 (ko) * | 2007-05-28 | 2008-09-05 | 삼성전자주식회사 | 반도체 소자 및 그 형성방법 |
US20090087339A1 (en) * | 2007-09-28 | 2009-04-02 | Asm Japan K.K. | METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR |
US7867900B2 (en) | 2007-09-28 | 2011-01-11 | Applied Materials, Inc. | Aluminum contact integration on cobalt silicide junction |
KR101544198B1 (ko) | 2007-10-17 | 2015-08-12 | 한국에이에스엠지니텍 주식회사 | 루테늄 막 형성 방법 |
US7655564B2 (en) | 2007-12-12 | 2010-02-02 | Asm Japan, K.K. | Method for forming Ta-Ru liner layer for Cu wiring |
KR20090067505A (ko) * | 2007-12-21 | 2009-06-25 | 에이에스엠지니텍코리아 주식회사 | 루테늄막 증착 방법 |
US7799674B2 (en) | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
US7956466B2 (en) | 2008-05-09 | 2011-06-07 | International Business Machines Corporation | Structure for interconnect structure containing various capping materials for electrical fuse and other related applications |
US8772156B2 (en) * | 2008-05-09 | 2014-07-08 | International Business Machines Corporation | Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications |
KR101254407B1 (ko) | 2008-08-07 | 2013-04-15 | 제이엑스 닛코 닛세키 킨조쿠 가부시키가이샤 | 무전해도금에 의해 구리 박막을 형성한 도금물 |
US8084104B2 (en) | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
DE102008044988A1 (de) * | 2008-08-29 | 2010-04-22 | Advanced Micro Devices, Inc., Sunnyvale | Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US20100104770A1 (en) * | 2008-10-27 | 2010-04-29 | Asm Japan K.K. | Two-step formation of hydrocarbon-based polymer film |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
US20110020546A1 (en) * | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
KR101616044B1 (ko) * | 2009-07-03 | 2016-04-28 | 삼성전자주식회사 | 무전해 도금에 의해 형성된 랜딩 패드를 포함한 반도체 소자 |
US8329569B2 (en) | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
US20110127673A1 (en) * | 2009-12-01 | 2011-06-02 | International Business Machines Corporation | Wiring structure and method |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US8569888B2 (en) | 2011-05-24 | 2013-10-29 | International Business Machines Corporation | Wiring structure and method of forming the structure |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US10134631B2 (en) * | 2011-08-17 | 2018-11-20 | International Business Machines Corporation | Size-filtered multimetal structures |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US20140199497A1 (en) * | 2013-01-14 | 2014-07-17 | Tighe A. Spurlin | Methods for reducing metal oxide surfaces to modified metal surfaces |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9865501B2 (en) | 2013-03-06 | 2018-01-09 | Lam Research Corporation | Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9514986B2 (en) * | 2013-08-28 | 2016-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device with capped through-substrate via structure |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US20150235953A1 (en) * | 2014-02-14 | 2015-08-20 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and formation thereof |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9469912B2 (en) | 2014-04-21 | 2016-10-18 | Lam Research Corporation | Pretreatment method for photoresist wafer processing |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US11685999B2 (en) * | 2014-06-02 | 2023-06-27 | Macdermid Acumen, Inc. | Aqueous electroless nickel plating bath and method of using the same |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9349691B2 (en) | 2014-07-24 | 2016-05-24 | International Business Machines Corporation | Semiconductor device with reduced via resistance |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9472377B2 (en) | 2014-10-17 | 2016-10-18 | Lam Research Corporation | Method and apparatus for characterizing metal oxide reduction |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10443146B2 (en) | 2017-03-30 | 2019-10-15 | Lam Research Corporation | Monitoring surface oxide on seed layers during electroplating |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10181421B1 (en) * | 2017-07-12 | 2019-01-15 | Globalfoundries Inc. | Liner recess for fully aligned via |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10593599B2 (en) | 2018-03-07 | 2020-03-17 | Globalfoundries Inc. | Contact structures |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11043454B2 (en) | 2019-01-17 | 2021-06-22 | Samsung Electronics Co., Ltd. | Low resistivity interconnects with doped barrier layer for integrated circuits |
KR20210004231A (ko) | 2019-07-03 | 2021-01-13 | 삼성전자주식회사 | 집적회로 소자 및 이의 제조 방법 |
KR102370279B1 (ko) * | 2020-05-29 | 2022-03-04 | 고려대학교 산학협력단 | 코발트-텅스텐 합금 및 그의 제조 방법 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH06275612A (ja) * | 1993-03-19 | 1994-09-30 | Fujitsu Ltd | 集積回路装置の製造方法 |
US5453642A (en) * | 1993-12-02 | 1995-09-26 | International Business Machines Corporation | Multilayer interconnect systems |
US5670420A (en) * | 1994-12-05 | 1997-09-23 | Hyundai Electronics Industries Co., Ltd. | Method of forming metal interconnection layer of semiconductor device |
JP2000049116A (ja) * | 1998-07-30 | 2000-02-18 | Toshiba Corp | 半導体装置及びその製造方法 |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH01116906A (ja) | 1987-10-29 | 1989-05-09 | Matsushita Electric Ind Co Ltd | 浮動形磁気ヘッド |
JP2798566B2 (ja) | 1992-10-07 | 1998-09-17 | 京セラ株式会社 | 回路基板の製造方法 |
JP3556377B2 (ja) | 1996-02-29 | 2004-08-18 | 京セラ株式会社 | 配線基板 |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
US6100184A (en) | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
US6083842A (en) * | 1999-02-19 | 2000-07-04 | Advanced Micro Devices Inc. | Fabrication of a via plug having high aspect ratio with a diffusion barrier layer effectively surrounding the via plug |
US6342733B1 (en) | 1999-07-27 | 2002-01-29 | International Business Machines Corporation | Reduced electromigration and stressed induced migration of Cu wires by surface coating |
US6153935A (en) | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects |
US6335104B1 (en) | 2000-02-22 | 2002-01-01 | International Business Machines Corporation | Method for preparing a conductive pad for electrical connection and conductive pad formed |
-
2001
- 2001-02-16 JP JP2001040640A patent/JP3979791B2/ja not_active Expired - Fee Related
- 2001-03-07 TW TW090105332A patent/TW494531B/zh not_active IP Right Cessation
- 2001-03-07 US US09/799,533 patent/US6680540B2/en not_active Expired - Lifetime
- 2001-03-08 KR KR1020010011926A patent/KR100553620B1/ko not_active IP Right Cessation
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH06275612A (ja) * | 1993-03-19 | 1994-09-30 | Fujitsu Ltd | 集積回路装置の製造方法 |
US5453642A (en) * | 1993-12-02 | 1995-09-26 | International Business Machines Corporation | Multilayer interconnect systems |
US5670420A (en) * | 1994-12-05 | 1997-09-23 | Hyundai Electronics Industries Co., Ltd. | Method of forming metal interconnection layer of semiconductor device |
JP2000049116A (ja) * | 1998-07-30 | 2000-02-18 | Toshiba Corp | 半導体装置及びその製造方法 |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20170038765A (ko) * | 2014-07-25 | 2017-04-07 | 인텔 코포레이션 | 반도체 디바이스의 텅스텐 합금 |
KR102330792B1 (ko) * | 2014-07-25 | 2021-11-25 | 인텔 코포레이션 | 텅스텐 합금을 갖는 반도체 디바이스 및 컴퓨팅 디바이스 |
KR20210145840A (ko) * | 2014-07-25 | 2021-12-02 | 인텔 코포레이션 | 텅스텐 합금을 갖는 반도체 디바이스 및 컴퓨팅 디바이스 |
US11195798B2 (en) | 2014-07-25 | 2021-12-07 | Intel Corporation | Tungsten alloys in semiconductor devices |
KR102408283B1 (ko) * | 2014-07-25 | 2022-06-14 | 인텔 코포레이션 | 텅스텐 합금을 갖는 반도체 디바이스 및 컴퓨팅 디바이스 |
Also Published As
Publication number | Publication date |
---|---|
JP3979791B2 (ja) | 2007-09-19 |
US20010030366A1 (en) | 2001-10-18 |
JP2002151518A (ja) | 2002-05-24 |
KR20010100810A (ko) | 2001-11-14 |
US6680540B2 (en) | 2004-01-20 |
TW494531B (en) | 2002-07-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100553620B1 (ko) | 반도체 장치 및 제조 방법 | |
US7476974B2 (en) | Method to fabricate interconnect structures | |
US6824665B2 (en) | Seed layer deposition | |
KR100711526B1 (ko) | 구리 연결선을 갖는 반도체 장치의 제조방법 | |
US20030116439A1 (en) | Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices | |
US20050029662A1 (en) | Semiconductor production method | |
WO2011084666A2 (en) | Superfilled metal contact vias for semiconductor devices | |
WO1999010916A2 (en) | Copper electroless deposition on a titanium-containing surface | |
US6398855B1 (en) | Method for depositing copper or a copper alloy | |
US20070298607A1 (en) | Method for copper damascence fill for forming an interconnect | |
KR101170560B1 (ko) | 반도체 산업에서 사용하기 위한 3성분 물질의 무전해석출용 조성물 | |
US6380083B1 (en) | Process for semiconductor device fabrication having copper interconnects | |
EP3034655A1 (en) | Trench pattern wet chemical copper metal filling using a hard mask structure | |
KR100283108B1 (ko) | 반도체소자의 구리배선 형성방법 | |
KR101076927B1 (ko) | 반도체 소자의 구리 배선 구조 및 그 형성방법 | |
EP1215305B1 (en) | Method for preparing an electroplating bath and related copper plating process | |
JP4202016B2 (ja) | 電気めっき浴を準備する方法および関連した銅めっきプロセス | |
KR100421913B1 (ko) | 반도체 소자의 금속 배선 형성방법 | |
KR100451767B1 (ko) | 반도체 소자의 금속 배선 형성방법 | |
KR100858873B1 (ko) | 구리 무전해 도금법을 이용한 대머신 금속배선 형성방법 | |
EP1022355B1 (en) | Deposition of copper on an activated surface of a substrate | |
KR100451766B1 (ko) | 반도체 소자의 금속 배선 형성방법 | |
KR20000044857A (ko) | 반도체 소자의 콘택 플러그 형성 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E902 | Notification of reason for refusal | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20130118 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20140117 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20150119 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20160119 Year of fee payment: 11 |
|
FPAY | Annual fee payment |
Payment date: 20170119 Year of fee payment: 12 |
|
FPAY | Annual fee payment |
Payment date: 20180202 Year of fee payment: 13 |
|
LAPS | Lapse due to unpaid annual fee |