KR100553620B1 - 반도체 장치 및 제조 방법 - Google Patents

반도체 장치 및 제조 방법 Download PDF

Info

Publication number
KR100553620B1
KR100553620B1 KR1020010011926A KR20010011926A KR100553620B1 KR 100553620 B1 KR100553620 B1 KR 100553620B1 KR 1020010011926 A KR1020010011926 A KR 1020010011926A KR 20010011926 A KR20010011926 A KR 20010011926A KR 100553620 B1 KR100553620 B1 KR 100553620B1
Authority
KR
South Korea
Prior art keywords
wiring
film
semiconductor device
copper
cobalt
Prior art date
Application number
KR1020010011926A
Other languages
English (en)
Other versions
KR20010100810A (ko
Inventor
나까노히로시
이따바시다께유끼
아까호시하루오
Original Assignee
가부시키가이샤 히타치세이사쿠쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히타치세이사쿠쇼 filed Critical 가부시키가이샤 히타치세이사쿠쇼
Publication of KR20010100810A publication Critical patent/KR20010100810A/ko
Application granted granted Critical
Publication of KR100553620B1 publication Critical patent/KR100553620B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명의 목적은 구리 배선의 산화 및 구리의 확산으로 인한 저항의 증가를 방지하는 것이다.
상기의 목적은, 절연막 내에 형성된 구리 배선(2)의 상면을 덮는 배선 보호막(1) 및 구리 배선의 측면 및 저면을 둘러싼 배리어막을 포함하며, 배선 보호막 및 배리어막은 (1) 코발트, (2) 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨, 인 적어도 하나, (3) 붕소를 함유하는 코발트 합금막으로 형성되는 반도체 장치를 제공하는 본 발명에 의해 성취된다.
구리 배선, 배선 보호막, 배리어막, 코발트 합금막, 무전해 도금

Description

반도체 장치 및 제조 방법{SEMICONDUCTING SYSTEM AND PRODUCTION METHOD}
도 1a∼도 1h는 본 발명의 일 실시예에 따른 반도체 장치의 제조 방법을 나타낸 공정을 도시한 도면.
도 2는 본 발명의 반도체 장치의 일 실시예를 나타내는 단면도.
도 3은 본 발명의 반도체 장치의 다른 실시예를 나타내는 단면도.
도 4는 본 발명의 반도체 장치의 또 다른 실시예를 나타내는 단면도.
도 5는 두 개의 에칭 정지층이 제공되는 본 발명의 반도체 장치의 또 다른 실시예를 나타내는 단면도.
도 6은 에칭 정지층이 배선층의 상단에만 제공되는 본 발명의 반도체 장치의 또 다른 실시예를 나타내는 단면도.
도 7a∼도 7h는 도 5 및 도 6에 도시된 에칭 정지층(9)을 포함하는 반도체 장치의 제조 방법을 나타낸 공정을 도시한 도면.
도 8은 종래의 반도체 장치를 나타내는 단면도.
도 9는 종래의 반도체 장치를 나타내는 표면도.
도 10a∼도 10h는 종래의 반도체 장치의 제조 방법을 나타낸 공정을 도시한 도면.
도 11은 본 발명의 반도체 장치의 다른 실시예를 나타내는 단면도.
도 12는 본 발명의 반도체 장치의 또 다른 실시예를 나타내는 단면도.
<도면의 주요 부분에 대한 부호의 설명>
1: 배선 보호막
2 : 구리 배선
3 : 배리어막
4 : 절연막
5 : 씨드층
6 : 구리막
7 : 배선홈
8 : 배선 보호막
9 : 팔라듐층
10 : 접속홀
11 : 배선 플러그
본 발명은 반도체 장치 및 그 제조 방법에 관한 것으로서, 구체적으로는 구리로 된 배선 구조를 가지며, 상기 구리 배선을 둘러싸는 -즉, 구리 배선의 상면을 덮는 배선 보호막 및 상기 구리 배선의 측면 및 저면을 둘러싸는 배리어막을 갖는 기본 구조를 가진 반도체 장치 및 그 제조 방법에 관한 것이다.
반도체 장치의 고집적화 및 성능 개선을 위해서는 장치의 동작 속도의 향상이 필요하다. 이러한 요구에는 LSI 내부 배선의 미세화의 진보 및 층수의 증가가 수반된다. 배선의 미세화 및 층수의 증가는 배선 저항 및 배선간 용량의 증가를 유발하며, 배선 내에서의 신호 전송 속도에 영향을 준다. 속도의 증가는 이러한 지연 시간에 의해 제한되므로, 배선간 용량을 줄이기 위하여 층간 절연막의 유전율이 감소되어야 한다. 동시에, 상기 동작 속도는 저저항 배선 재료를 사용하여 배선 저항을 감소시킴으로써 향상된다.
따라서, 1.7μΩcm의 낮은 비저항을 가진 구리가 배선 재료로 사용될 수 있는지에 대한 연구가 행해져왔다. 구리 배선을 형성하기 위한 기술로서 듀얼 다마신(Dual Damasscene) 방법이 주의를 끈다. 이하, 도 10을 참조하여 이 방법의 일례를 설명한다.
기판(본 예에서는, 하층 배선(2b)을 가지며 높은 절연 특성을 갖는 보호막(8)이 전면에 제공되는 하층 배선층(10a)에 대응함)(도 10a) 상에, 절연막(4)이 형성된다(도 10b). 배선을 매립하기 위한 배선홈(7)과, 상층 및 하층 배선 간을 접속시키기 위한 접속홀(10)이 상기 절연막(4) 상에 형성된다. 하층 배선층(10a)과 절연막(4) 사이에 절연성 배선 보호막(8)이 위치하므로, 배선 보호막(8)은 접속홀(10)의 저면로부터 제거될 수 있다(도 10c). 배리어층(3)이 배선홈(7)과 접속홀(10) 상에 형성된 후(도 10d), 씨드층(5)이 형성되며(도 10e), 배선 재료(6)로 채워진다(도 10f). 그 다음, 화학기계적 연마(CMP)를 이용하여 배선 재료(6)의 과다 부분이 제거되며, 배선(2) 내의 배선 플러그(11) 및 상층의 접속홀(10)은 동시에 형성된다(도 10g). 그 다음, 배선(2) 및 절연층(4)을 덮도록 상기 배선 보호막(8)이 형성된다(도 10h). 이러한 이중 다마신 방법은 배선(2) 및 배선 플러그(11)가 한 번에 형성되도록 하여 실질적인 공정 비용의 감소를 보장한다.
한편, 구리는 절연막(4)과 반응하여 절연막 안으로 확산된다. 양호한 배선 신뢰도를 보장하기 위하여, 절연성 배선 보호막(8) 및 배리어막(3)이 전술한 바와 같이 구리 배선(2)과 절연막(4) 사이에 제공되어야 한다. 통상적으로, 구리 확산을 방지할 수 있는 질화 티타늄, 질화 탄탈륨 및 질화 텅스텐 등의 금속 질화물과, 탄탈륨 및 텅스텐 등의 고융점 금속 및 그 합금이 배리어막(3)으로 사용된다. 한편, 절연 실리콘 질화막(SiN)이 구리 배선(2) 상의 배선 보호막(8)으로 사용된다.
그러나, SiN은 7.0 내지 9.0의 비유전율을 갖는다. 이것은 예컨대 SiO2 절연막의 유전율의 두배이다. 따라서, 이것은 극히 미세한 배선 패턴에서 배선간 전기 용량의 감소를 방해한다. 이러한 문제를 해결하기 위하여, 배선의 상면 상에 배선 보호막으로서 도전막을 형성함으로써 전기 용량이 감소될 수 있다.
미국 특허 제5695810호는 코발트-텅스텐-인 도전막을 무전해 도금에 의해 배선 보호막으로서 형성하는 것을 개시하고 있다. 코발트-텅스텐-인 무전해 도금에 있어서, 일반적으로 차아인산 나트륨(sodium hypophosphite)이 환원제로 사용된다. 차아인산 나트륨은 구리와 반응을 하지 않아 구리 상에 직접 도금될 수 없는 불활성 환원제로 알려져 있다(G.O. Mallory, J.B. Hajdu, "Electroless Plating- Fundamentals & Applications", American Electroplaters And Surface Finishers Society, Florida, Page 318, 1990 참조). 따라서, 코발트-텅스텐-인막은 팔라듐과 같은 씨드층이 구리 배선 상에 형성된 후에 무전해 도금되어야 한다. 그러나, 이 경우에는 팔라듐이 배선층을 구성하는 구리와 반응하여 구리 저항을 증가시킬 수 있다. 또한, 팔라듐은 배선 이외의 절연막 상에도 피착될 수 있고, 코발트-텅스텐-인막도 배선 이외의 절연막 상에 형성될 수 있다. 따라서, 이것은 미세 배선을 형성할 때에 요구되는 배선간 절연을 감소시키는 문제를 일으킨다.
더우기, 일본 특허공보 16906/1999는 코발트 함유 무전해 도금이 산화방지 막으로 사용되는 것을 개시하고 있다. 그러나, 상기 방법에 의해 얻어진 코발트 함유 막은 구리 확산 방지막으로서는 불충분한 방지 성능을 갖는다. 반도체 장치 형성 공정동안이나 형성 후에 열처리가 실시되는 경우, 구리는 코발트 함유막을 통해 SiO2 안으로 확산된다.
일본 특허공보 120674/1994는, 회로 기판의 제조에 있어서, 텅스텐-코발트-붕소 합금을 주성분으로 하는 중간 금속막이 배선 도전체를 구비한 배선 보드의 표면에 형성되고, 구리로 구성된 회로 도전체로 코팅되는 것을 개시하고 있다. 그러나, 텅스텐-코발트-붕소 합금을 주성분으로 하는 중간 금속막은, 회로 기판 표면 상의 텅스텐 또는 몰리브덴의 배선 도전체와 그 표면 상에 형성된 구리의 회로 도전체 사이의 밀착력을 증가시키기 위한 것이지, 구리 배선과 절연막 사이의 배선 보호막으로 작용하는 것은 아니다.
전술한 바와 같이, 배선 보호막으로 사용된 SiN은 절연체이며, 높은 비유전율을 갖고 있다. 이것이 배선간 전기 용량의 감소를 방해하는 요인이다. 이러한 문제를 해결하기 위하여, 배선 보호막은 전기 용량을 감소시킬 수 있는 금속 재료로 형성될 수 있다. 그러나, 배선 보호막으로 알려진 질화 티타늄, 질화 탄탈륨 및 질화 텅스텐과 같은 금속 질화물과, 탄탈륨 및 텅스텐과 같은 고융점 금속 및 그 합금은 선택적으로 구리 배선 상에만 형성될 수가 없다. 배선들 간의 단락을 방지하기 위해서는, 패터닝 및 에칭과 같은 복잡한 공정이 필요하다. 이것은 배선 형성 정밀도 및 신뢰성을 저하시킨다. 따라서, 금속 재료로 배선 보호막을 형성하기 위해서는 다음과 같은 2가지 과제가 해결되어야 한다.
(1) 구리 배선의 신뢰성을 보장하기 위하여, 열처리시 구리 배선이 산화되지 않게 하는 것과 함께, 구리 확산을 방지할 수 있는 금속 재료를 형성하는 것이 필요하다.
(2) (1)에 설명된 금속 재료는 선택적으로 구리 배선 상에만 형성되어야 한다.
이러한 2 가지 요건을 만족시키는 형성 방법이 제공되어야 한다. 질화 티타늄, 질화 탄탈륨 및 질화 텅스텐과 같은 금속 질화물과, 탄탈륨 및 텅스텐과 같은 고융점 금속 또는 그 합금이 배리어막으로 사용될 때에는, 고저항으로 인해 구리 도금을 제공하기 위하여 씨드층이 형성되어야 한다. 특히, 급전층으로 동작할 수 있는 배리어막이 형성될 수 있는 경우에는, 전기 구리 도금이 배리어막 상에 직접 제공될 수 있으며, 도전막(구리 배선막)이 효과적으로 형성될 수 있다. 특히, 무 전해 도금을 이용하여 도전성 배리어막을 형성하는 경우, 배리어막은 배선의 복잡한 구성에도 불구하고 균일하게 형성될 수 있고, 전기 구리 도금의 씨드층으로 효과적으로 작용하게 된다. 그러나, 이러한 조건을 만족시키는 배선 보호막 또는 배리어막은 현재 알려져 있지 않다.
본 발명의 목적은 종래 기술의 상기 기술적 문제점을 해결하는 것이다. 더 구체적으로 말하면, 본 발명은 구리 배선의 산화로 인한 저항의 증가와, 구리 확산으로 인한 구리 배선 및 소자의 신뢰도 감소를 방지하고자 하는 것이다. 동시에, 이러한 반도체 장치 및 그 형성 방법을 제공하고자 하는 것으로, 상기 반도체 장치는 복잡한 구성에도 불구하고 구리 배선막을 균일하게 형성할 수 있게 하는 구리 배선 보호막 및/또는 배리어막을 구비한다.
상기 목적을 달성하기 위해, 본 발명은 절연막 내에 형성된 구리 배선의 상면을 덮기 위한 배선 보호막, 및 구리 배선의 측면과 저면을 둘러싼 배리어막을 구비하며, 상기 배선 보호막 및/또는 배리어막은 (1) 코발트, (2) 크롬, 몰리브덴, 텅스텐, 레늄(rehnium), 탈륨 및 인 중 적어도 하나, 및 (3) 붕소를 함유하는 코발트 합금막으로 형성되는 것을 특징으로 하는 반도체 장치를 제공한다.
본 발명에 따른 상기 반도체 장치는 또한, 다층의 구리 배선이 절연막 내에 형성되고, 배선 보호막 및 배리어막은 (1) 코발트, (2) 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, 및 (3) 붕소를 함유하는 코발트 합금막으로 덮여 있으며, 상층 상의 구리 배선은 상기 배리어층을 통해 하층 상의 구리 배선과 전기 접속되는 것을 특징으로 한다.
다른 실시예에서, 상기 반도체 장치의 제조 방법은 또한, 에칭 정지층으로서 작용하는 절연막은 배선 보호막이 형성되는 곳을 제외한 절연막의 표면 상에 형성되는 것을 특징으로 한다. 이와 같은 에칭 정지층을 전면에 형성하면, 반도체 장치 제조 공정에서 에칭 접속이 용이해진다.
본 발명은, 절연막 내에 형성된 구리 배선의 상면을 덮기 위한 배선 보호막 및 구리 배선의 측면 및 저면을 둘러싸는 배리어막을 구비하며, 상기 배선 보호막 및/또는 배리어막은 (1) 코발트, (2) 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, 및 (3) 붕소를 함유하는 코발트 합금막으로 형성되는 것을 특징으로 하는 반도체 장치의 제조 방법을 개시한다.
본 발명은 구리 배선의 산화로 인한 저항의 증가와 구리 확산으로 인한 구리 배선 및 소자의 신뢰도 감소를 방지하며, 배선 보호막이 선택적으로 구리 배선 상에만 형성될 수 있도록 한다. 다음, 배리어막이 상기 도전성 코발트 합금막으로 형성되고, 구리가 급전층없이 배리어막 상에 직접 도금될 수 있다. 이에 따라, 보이드 형성의 문제가 해결되고 씨드층을 형성하는 단계를 제거할 수 있다.
본 발명에 따른 반도체 장치에서, 상기 코발트 합금, 즉 배선 보호막 및/또는 배리어막은 100 nm 이하의 두께를 가지고, 주성분으로서 50 내지 95 원자%의 코발트 및 1 내지 40 원자 %의 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, 및 0.1 내지 10 원자%의 붕소를 함유하는 것이 바람직하다.
다음으로, 도면을 참조하여 본 발명에 따른 반도체 장치 및 그 제조 방법의 바람직한 실시예를 개시한다. 본 발명에 따른 반도체 장치는 다음 공정에 따라 기본적으로 제조된다(도 1 참조).
(a) (도 1a에 이미 형성된, 후술될 하층 구리 배선(2b) 및 배선 보호막(1a)에 한정되지 않는) 기판(10a) 상에 절연막(4)을 절연층으로서 형성하는 단계(도 1b)
(b) 절연막(4) 상에 배선홈(7) 및 접속홀(10)을 형성하는 단계(도 1c)
(c) 배선홈(7) 및 접속홀(10)에 배리어막(3)을 형성하는 단계(도 1d)
(d) 배리어막(4) 상에 씨드층(5)을 형성하는 단계(도 1e)
(e) 배선홈(7) 및 접속홀(10)에 구리막(6)을 매립하는 단계(도 1f)
(f) 배선홈(7) 및 홀(10) 이외의 절연막(4) 상에 형성된 구리(6)를 제거함으로써 구리 배선(2) 및 배선 플러그(11)를 형성하는 단계(도 1g)
(g) 구리 배선(2)의 표면 상에 배선 보호막(1)을 형성하는 단계(도 1h)
다층(도면에서의 4층) 상에 적층된 배선층을 갖는 반도체 장치는 도 2에 도시된 이들 단계 a 내지 g를 필요한 횟수만큼 반복함으로써 형성된다.
SiO2, 실세스퀴옥산 하이드록사이드(sylsesquioxane hydroxide), 및 메틸 실록산(methyl siloxane)과 같은 절연 재료, 각종의 저유전율 재료, 및 이들의 적층막이 절연막(4)으로서 사용될 수 있다. 구리 배선(2)은 전해 구리 도금 또는 무전해 구리 도금 중 하나에 의해 형성될 수 있다. 이하 설명되는 바와 같이, 씨드층(5)을 형성하는 단계가 제거될 수 있으며, 본 발명에 따른 코발트 합금막이 배리어막(4)으로서 사용되면 구리 배선(2)의 무전해 구리 도금이 용이해질 수 있다.
티타늄, 탄탈륨 및 텅스텐 또는 그들의 합금과 같은 고융점 재료, 및 질화 티타늄, 질화 탄탈륨 및 질화 텅스텐과 같은 질화막이 배리어막(3)으로서 사용될 수 있다. 또한, 본 발명에 따른 코발트 합금막도 사용될 수 있다. 이러한 경우, 코발트계 무전해 도금조에 배선홈(7) 및 홀(10)을 갖는 기판을 침지시킴으로써 상기 형성 단계가 실시될 수 있다.
코발트계 무전해 도금조는 금속염, 환원제, 착화제(completing agent), pH 조정제 및 첨가제를 함유한다. 코발트염으로서는, 염화 코발트, 황화 코발트 및 질산 코발트가 사용될 수 있다. 텅스텐염으로서는, 텅스텐산 나트륨(sodium tungstate), 텅스텐산 암모늄, 인텅스텐산 암모늄 삼수화물(ammonium trihydrate phosphotungstate), 텅스텐산 암모늄 오수화물(parapentahydrate ammonium tungstate), 인텅스텐산 n-수화물 나트륨(sodium n-hydrate phosphotungstate), 12-텅스텐규산 26수(tungstosilicic acid 26-water), 텅스텐산(tungstic acid), 산화 텅스텐, 구연산 나트륨 텅스텐(tungsten sodium citrate), 이규화 텅스텐(tungsten disilicate), 붕산화 텅스텐 등을 사용할 수 있다. 텅스텐산 나트륨, 텅스텐산 암모늄, 텅스텐산, 구연산 나트륨 텅스텐을 사용하는 것이 바람직하다. 몰리브덴염으로는, 몰리브덴산(molybdic acid), 염화 몰리브덴, 몰리브덴산 칼륨(potassium molybdate), 몰리브덴산 이나트륨 이수화물(disodium molybdate dihydrate), 몰리브덴산 암모늄(ammonium molybdate), 규화 몰리브덴산 n-수화물 (silicide n-hydrate molybdate), 산화 몰리브덴 아세틸아세토네이토(molybdenum oxide acetylacetonato), 인몰리브덴산 나트륨 n-수화물(sodium phosphomolybdate n-hydrate), 붕산화 몰리브덴(molybdenum borate) 등을 사용할 수 있다. 크롬염으로서는, 크롬산 암모늄(ammonium chromate), 황산 암모늄 크롬 12수(ammonium sulfate chromium dodecahydrate), 염화 크롬 6수화물(chromium chloride hexahydrate), 황산화 크롬 n-수화물, 산화 크롬, 붕화 크롬, 2크롬화 나트륨 2수화물(sodium dichromate dihydrate) 등을 사용할 수 있다. 레늄염으로서는, 레늄산 암모늄(ammonium perrhenate), 헥사클로로레늄산 칼륨(potassium hexachlororhenate) 등을 사용할 수 있다. 탈륨염으로서는, 질화 탈륨, 포름산 탈륨(thallium formate), 염화 탈륨, 산화 탈륨 등을 사용할 수 있다. 인은, 포스핀산 나트륨 일수화물(sodium phosphinate monohydrate), 3-아미노프로필 포스피닉산 (3-aminopropyl phosphinic acid) 및 포스피닉산(phosphinic acid) 등으로부터 공급될 수 있다.
배선 보호막을 구리 배선막(2) 상에만 선택적으로 형성하기 위해, 환원제로는, 구리 배선(2)의 표면 및 코발트 도금막 상에서 반응이 진행되는 히드라진(hydrazine) 및 붕소 화합물을 이용하는 것이 바람직하다. 또한, 디메틸 아민보레인(dimethyl amineborane), 디에틸 아민보레인(diethyl amineborane), 아민보레인(amineborane), 모르포린 보레인(morpholine borane), 피리딘 보레인(pyridine borane), 파이피리딘 보레인(piperidine borane), 에틸렌 디아민 보레인(ethylene diamine borane), 에틸렌 다이아민 비스-보레인(ethylene diamine bis-borane), t-부틸 아민 보레인(t-butyl amine borane), 이미다졸 보레인(imidazol borane), 메톡시에틸 아민 보레인(methoxyethyl amine borane), 붕수산화 나트륨(sodium borohydride) 등도 사용할 수 있다. 이러한 환원제를 사용하면, 팔라듐(palladium)과 같은 도금 촉매를 사용하지 않고서도, 구리 배선(2) 상에 배선 보호막(1)이 직접 형성할 수 있다.
착화제로서는, 구연산염, 호박산염(succinate), 말론산염(malonate), 말레이트(malate), 주석산염(tartrate) 등이 사용되는 것이 바람직하다. pH를 조정하는 알칼리액으로서는, 수산화나트륨 및 수산화칼륨 등의 알칼리 금속 수산화물과, 암모늄, 테트라메틸 암모늄(ammonium tetramethyl), 테트라에틸 암모늄(ammonium tetraethyl), 콜린 등과 같은 유기 알칼리 등이 바람직하다. 첨가제로서는, 티오 요소(thiourea), 사카린(saccharin), 붕산, 질산 탈륨 및 폴리에틸렌 글리콜(polyethylene glycol) 등의 공지된 계면 활성제가 사용될 수 있다. 도금액의 온도는 40 내지 90℃인 것이 바람직하다.
이러한 코발트계 무전해 도금조를 이용하여 형성된 배선 보호막(1)은 구리 배선(2)의 상면을 도시된 바와 같이 선택적으로 덮는다. 여기서, 배선 보호막(1)은 구리 배선(2)으로부터 등방성 성장을 나타내므로, 상기 막은 구리 배선(2) 바로 윗방향으로만 성장하지는 않는다. 상기 막은 구리 배선(2)의 에지로부터 배리어막(3) 또는 절연막(4)의 상면까지 배선 보호막(1)의 두께와 동일한 거리만큼 성장한다. 배선 보호막(1)이 배리어막(3)보다 더 얇으면, 배리어막(3) 상면까지 성장한다. 배선 보호막(1)이 배리어막(3)보다 더 두꺼우면, 배리어막(3)을 넘어 절연막(4)의 상면까지 연장된다. 또한, 단계 (c)에서 형성된 배리어막(3)의 표면에서 도금 반응이 활성인 경우에는, 도 3에 도시된 바와 같이, 배리어막(3)의 에지로부터 등방적으로 절연막(4)의 상면을 넘어 상기 막이 형성된다. 따라서, 배선 보호막의 등방성 성장으로 인해, 배선 보호막(1)의 에지가 직사각형이 아닌 라운드형이 된다.
단계 (f)에서의 과도한 연마로 인해 구리 배선막(2)의 제거량이 배리어막(4)의 제거량 이상이고, 구리 배선(2)이 배리어막(4)보다 더 오목한 경우, 즉 소위 디싱(dishing)이 발생한 경우, 배리어막(4) 상에는 피착되지 않고 구리 배선(2)의 상면에만 피착이 일어나는 것을 보증하기 위해, 코발트계 무전해 도금액을 사용한다. 그러면, 구리 배선(2)의 오목부가 감소될 수 있는데, 이는 바람직한 것이다.
(실시예 1)
다음의 실시예는 도 1을 참조하여 설명될 것이다. 직경이 200㎜인 실리콘 기판 상에 소자 형성이 이루어져, 하층 상에 구리 배선(2b)을 형성했다(도 1a). 이 후, 두께가 1마이크론인 SiO2 절연막(4)을 형성하였다(도 1b). 그 다음, 배선홈(7)과 접속홀(10)이 건식 에칭으로 형성되었다(도 1c). 배선홈(7)은 폭이 0.3마이크론이고, 접속홀(10)의 직경은 0.3마이크론이다. 그 다음, Ta가 스퍼터링에 의해 배리어막(3)으로서 두께가 50㎚인 막으로 형성되었다(도 1d). 다음으로 구리가 씨드층(5)으로서 두께가 150㎚로 형성되었다(도 1e). 구리 씨드층(5)의 경우, 구리 스퍼터링용 장거리 스퍼터링 시스템인 Ceraus ZX-1000(Nippon Vacume Co.,Ltd.)을 이용하여 200 내지 400㎚/min의 속도로 막이 형성되었다. 이 기판은 아래에 표시된 도금액에 침지되고, 용액 온도 24℃, 전류 밀도 1A/dm2에서 5분동안 전기 도금되었다. 구리는 배선홈(7)과 접속홀(10)에 매립되어 구리막(6)을 형성하였다. 인-함유 구리가 애노드로 이용되었다.
황산 구리: 0.4mol/dm3
황산: 2.0mol/dm3
염화 이온: 1.5 ×10-3 mol/dm3
Microfab Cu2100: 10 ×10-3 dm3/dm3(Nippon Electroplating Enclosures 사의 구리 도금 첨가제)
다음으로 IPEC의 모델 472 화학기계적 연마 장치, 1 내지 2% 과산화수소를 함유한 알루미나 분산 휠 및 패드(Rohdel의 IC1000)를 이용하여 화학기계적 연마가 행해졌다. 연마는 190g/㎠의 연마 압력에서 배리어막(3)까지 수행되어서 배선 도전체를 분리하고 구리 배선(2)을 형성하였다(도 1g). 다음으로 1분간 5wt.% 황산으로 세정된 후, 1분간 순수로 세정되었다.
그 후, 기판은 배선 보호막(1)을 형성하기 위해서 아래의 도금액에 침지되고, 아래의 도금 조건(도 1h) 하에서 코발트계 무전해 도금이 행해졌다. 그런 다음 기판은 순수로 세정되었다.
염화 코발트: 0.1mol/dm3
구연산 삼나트륨: 0.3mol/dm3
디메틸아민 붕소: 0.06mol/dm3
텅스텐산 나트륨: 0.03mol/dm3
RE610: 0.05g/dm3(토호 카가쿠사의 계면활성제)
도금 조건
pH: 9.5(KOH에 의해 조정됨)
용액 온도: 75℃
도금 시간: 10분
상술된 절차에 의해 제조된 반도체 장치는 FIB(focused ion beam)에 의해 처리되었다. 배선홈(7) 및 접속홀(10)을 포함하는 장치의 횡단면이 스캔 전자 현미경(이하 "SEM"으로 약술함)에 의해 관찰되었다. 막 두께가 80㎚인 코발트-텅스텐-붕소 합금은 구리 배선(2)의 표면에 균일하게 피착된 것이 발견되었다. 그러나, 절연막(4) 상에서는, 코발트-텅스텐-붕소 합금의 피착이 전혀 관찰되지 않았다. 따라서, 본 실시예의 도금 방법에 따르면, 배선 보호막(1)이 구리 배선(2) 상에만 형성될 수 있다는 것이 명백해졌다.
얻어진 코발트 합금은 Auger 전자 분광학에 의해 분석되었다. 이 합금막은 79 원자% 코발트, 20 원자% 텅스텐 및 1 원자% 붕소를 포함하는 무전해 도금막인 것으로 나타났다.
그런 다음 반도체 장치는 2% 수소와 98% 헬륨 기체의 분위기에서 30분동안 500℃로 어닐링되었다. 표면이 Auger 전자 분광학에 의해 측정되었지만, 구리는 표면 상에서 검출되지 않았다. 배선 재료인 금속의 확산은 관찰되지 않았다. 열처리 전후에 배선 저항의 상승도 없었다. 구리의 산화로 인한 배선 저항의 증가도 관찰되지 않았다.
따라서, 본 실시예의 무전해 도금에 따르면, 배선 보호막(1)으로서의 코발트-텅스텐-붕소 합금이 구리 배선(2) 상에 선택적으로 형성되었다. 더우기, 배선 보호막(1)은 구리 배선(2)의 산화와 구리 배선(2)으로부터 절연막(4)으로의 구리의 확산을 방지한다. 따라서, 신뢰도가 높은 반도체 장치가 얻어질 수 있었다.
(참조예 1)
다음은 무전해 도금에 따른 코발트-텅스텐-인 합금막의 배선 보호막(1)을 형성하는 예를 참조예 1로서 나타낸다. 차아인산 나트륨이 코발트-텅스텐-인 도금액에 대한 환원제로 이용되어, 구리에서 도금 반응이 발생하지 않는다. 도금은 구리 배선(2) 상에 직접 수행될 수 없다. 도금을 하기 위해서는, 구리 배선(2) 상에 팔라듐과 같은 촉매를 미리 제공해야 한다. 도금의 전처리로서, 구리 배선(2)이 형성되어 있는 실리콘 기판 상에 다음의 팔라듐 촉매 공정이 수행되었다.
염화 팔라듐: 0.003 mol/dm3
염산: 1 × 10-3 mol/dm3
초산: 0.5 mol/dm3
불산: 5 ×10-3 mol/dm3
온도: 24℃
시간: 10초
촉매화 처리에서, 평균 크기가 50㎚인 팔라듐이 반도체 장치의 표면상에 섬 형상으로 피착되었다. 1분간 순수로 세정된 후에, 반도체 장치는 표 1에 나타낸 도금액에 침지되고, 실시예 1에서와 동일한 도금 조건하에서 코발트계 무전해 도금이 행해졌다. 코발트계 무전해 도금 이후에, 반도체 장치는 순수로 세정되었다.
본 반도체 장치의 단면도는 SEM에 의해 관찰되었고, 코발트-텅스텐-인화물 합금 도금막이 표면 상에 피착된 것이 발견되었다. 이 코발트 합금은 84 원자%의 코발트, 8 원자% 텅스텐 및 8 원자% 인을 포함하는 무전해 도금막이었다. 구리 배선(2) 상에 형성된 배선 보호막(1) 이외에, 배선간 이상 피착부(13)(도 8)와 배선간 단락 위치(14)가 반도체 장치 상에서 발견되었다(도 9). 또한, 표면 요철이 증가되었다.
그 다음, 반도체 장치는 2% 수소와 98% 헬륨 기체 분위기에서 30분동안 400℃로 어닐링되었고, 6 원자% 구리가 그 표면에서 검출되었다. 배선 재료로서의 구리의 확산도 관찰되었다. 또한, 가열 처리 전후로 배선 저항이 10 퍼센트 증가를 나타내었다.
(실시예 2 내지 5)
다음은 코발트-텅스텐-붕소 합금이 배선 보호막(1)으로서 구리 배선(2)의 표면에 형성된 다른 실시예 2 내지 5를 나타낸다.
실시예 2에서는, 코발트계 무전해 도금액은 표에 나타난 바와 같이 암모니아 알칼리성이 되도록 제조되었고, 알칼리성 금속염을 함유하지 않는 도금액이 사용되었다. 도금 조건들은 실시예 1과 동일하였다. 암모니아수가 산도(pH)의 제공을 위해 사용되었다.
실시예 3에서, 코발트계 무전해 도금액은 표 1에 나타난 것과 같은 조성비를 갖는다. 실시예 1의 경우와 같이, 배선 보호막(1)이 형성되었다. 실시예 4에서는, 구리 배선(2)이 무전해 도금에 의해 형성되었고, 배선 보호막(1)이 코발트계 무전해 도금에 의해 구리 배선(2) 상에 형성되었다. 실시예 1과 마찬가지로, 실리콘 기판 상에 소자가 형성되었고, 구리 씨드층(5)이 배선홈(7)과 접속홀(10) 상에 형성되었다(도 1e). 그 다음, 기판은 구리막(6)을 형성하기 위해 다음의 구리 도금조에 침지되었다.
황산 구리 : 0.04 mol/d㎥
에틸렌 디아민 4초산 2나트륨(disodium ethyllene diamine tetraacetate) : 0.1 mol/d㎥
포름알데히드 : 0.03 mol/d㎥
2,2'-바이피리딜(bipyridyl) : 0.0002 mol/d㎥
폴리에틸렌 글리콜 : 0.03 mol/d㎥(평균 분자량 : 600)
도금 조건
pH : 9.5(수산화나트륨에 의해 조정됨)
용액 온도 : 70℃
그 후에, 화학기계적 연마가 배선 도전체를 분리하기 위해 행해졌다. 그 다음, 실시예 1의 경우와 같이, 코발트계 무전해 도금이 행해졌다.
실시예 5에서 이용된 코발트계 무전해 도금액은, 표 1에 나타난 바와 같이 알칼리성 테트라메틸 암모늄을 사용하여 알칼리성이 되었다. 이것은 알칼리 금속염을 함유하지 않는 도금액이었다. 도금 조건은 실시예 1과 동일하지만, 테트라메틸 암모니아수가 산성도(pH)를 제공하기 위해 사용되었다.
코발트-텅스텐-붕소 합금이 상기 나타난 단계들에서 제조된 실시예 2 내지 5의 반도체 장치의 구리 배선(2) 상에 균일하게 피착된 것으로 발견되었다. 절연막(4) 상에서는, 코발트 합금 피착이 관찰되지 않았다. 따라서, 배선 보호막(1)은 각 실시예의 도금에 따라, 구리 배선(2)의 표면 상에만 형성될 수 있었다.
그 다음, 2% 수소 및 98% 헬륨 기체 분위기 하에서 30분동안, 실시예 2 내지 4의 반도체 장치는 400℃로, 실시예 5의 반도체 장치는 500℃로 어닐링되었다.
이 실시예들의 어느 것에서도, 구리가 표면에서 검출되지 않았고, 배선 재료인 구리의 확산이 관찰되지 않았다. 표 1은 Auger 전자 분광기에 의해 측정된 각 실시예에서의 배선 보호막(1)내의 성분 조성비를 나타낸다.
Figure 112004008065423-pat00038
상기 단계에 의하면, 코발트-텅스텐-붕소 합금은 실시예 1의 경우와 같이 실 시예 2 내지 5에서 배선 보호막(1)으로서 구리 배선(2) 상에 선택적으로 형성될 수 있었고, 이에 의해 신뢰도가 높은 반도체 장치를 얻을 수 있다. 또한, 실시예 5에서, 배선 보호막은 알칼리 금속염을 함유하지 않는 도금액을 사용하여 형성되었다. 이에 따라, 나트륨 또는 칼륨과 같은 알칼리 금속으로 인한 오염을 회피하는데 성공하였다.
(실시예 6 내지 13, 및 참조예 2 및 3)
실시예 6 내지 13에서, 배선 보호막(1)은 표 2에 나타낸 성분 조성비로 형성되었고, 수명 테스트가 행해졌다. 각 실시예의 반도체 장치에 대해, 도 2에 도시된 배선층은 도 1에 도시된 단계 a 내지 h를 반복함으로써 4층으로 제조되었다. 수명 테스트에서, 600시간 및 1,200시간 경과 후에 배선 저항의 상승이 측정되었다.
배선 형태 - (a) 배선폭: 0.3 마이크론, (b) 막 두께: 1.0 마이크론, (c) 배선 길이 : 2.5mm
테스트 조건 - (a) 온도: 175℃, (b) 전류 밀도 3 ×106 A/㎠
표 2는 배선 보호막(1)의 성분 조성비와 수명 테스트의 결과를 나타내고 있다. 표 2는 배선 보호막이 형성되지 않은 참조예 2와, 참조예 1과 마찬가지로 배선 보호막(1)으로서 코발트-텅스텐-인막이 형성된 참조예 3을 나타낸다.
코발트 합금 조성(원자%) 수명 테스트
어닐링 전과 어닐링 후 사이의 배선 저항의 비(%) 구리 확산
Co W P O B 600시간 1200시간
실시예6 79 20 - - 1 1% 이하 2% 이하 확산없음
실시예7 81 18 - - 1 1 3 확산없음
실시예8 85 13 - - 2 2 4 확산없음
실시예9 65 34 - - 1 2 4 확산없음
실시예10 98 1 - - 1 3 6 확산없음
실시예11 79.8 20 - - 0.1 1 4 확산없음
실시예12 74 20 - - 6 2 3 확산없음
실시예13 70 18 - 11 1 2 5 확산없음
참조예2 배선 보호막층 없음 16 30 확산
참조예3 84 8 8 - 6 15 확산
실시예 13의 코발트-텅스텐-붕소 합금막은 11% 산소를 포함한다. 이 산소는 배선 보호막(1)이 형성될 때 주입된 불순물 성분이다. 다른 실시예에 있어서 세밀하게 분석해보면, 탄소, 염소, 황, 중금속 및 다른 불순물들도 함유되어 있는 것으로 생각된다. 그러나, 배선 저항의 증가는 참조예 2 및 3에서보다 작다. 또한, 절연막(4) 상에서는 구리가 검출되지 않았다. 따라서, 이 산소를 함유하는 코발트 합금막은 배선 보호막(1)으로서 효과적이다.
표 2에 나타난 결과에 따르면, 실시예 6 내지 13의 코발트-텅스텐-붕소 합금의 배선 보호막(1)은 참조예 2 및 3보다 작은 배선 저항의 증가를 나타낸다. 절연막(4) 내에서 구리는 검출되지 않았다. 그 결과, 실시예 6 내지 13의 배선 보호막(1)은 장시산 안정적이었으며, 구리 배선(2)의 산화가 방지되었고, 구리가 구리 배선(2)으로부터 절연막(4)으로 확산하는 것이 방지되어, 신뢰도가 매우 높은 반도체 장치를 얻을 수 있었다.
(실시예 14 내지 25)
실시예 14 내지 25에서는, 실시예 1의 경우에서와 마찬가지로, 구리 배선(2)이 실리콘 기판 상에 형성되었다. 이 기판은 표 3에 나타난 도금조에 침지되었고, 코발트계 무전해 도금이 실시되었다. 도금 조건은 실시예 1에서와 동일했다.
실시예 24에서, 저 유전율의 탄화수소계 유기 절연막 재료가 절연막(4)으로서 이용되었다. 실시예 1과 마찬가지로, 실리콘 기판 상에 소자가 형성되었다. 예를 들어, 유기 절연막으로서 SiLK(Dow Chemical사의 상품명)가 기판 상에 300㎚의 두께로 스핀 코팅되었다. 이것은 400℃의 질소(N2) 분위기에서 30분간 열처리되고 경화되었다. SiLK(Dow Chemical사의 상품명), BCB(Dow Chemical사의 상품명), FLARE(Allied Signal사의 상품명) 및 VELOX(Shuhmacher사의 상품명)가 방향족 화합물을 함유하는 탄화수소계 유기 절연막 재료로서 이용될 수 있다. 이러한 처리 다음에는, 배선홈(7)과 접속홀(10)을 형성하기 위한 패터닝의 단계가 수행되었다. 실시예 1의 경우에서와 같이, 구리 배선(2)이 형성되었고, 배선 보호막(1)이 형성되고, 세정되었다.
이러한 단계들에 의해 제조된 실시예 14 및 24의 반도체 장치의 구리 배선(2)의 표면 상에, 막 두께가 80㎚인 코발트 합금이 균일하게 피착되었다. 또한, 절연막(4) 상에서는 코발트 합금 피착이 관찰되지 않았다. 그러므로, 실시예 14 내지 23의 도금 처리에 따르면, 배선 보호막(1)은 구리 배선(2)의 표면 상에만 형성될 수 있었다. 실시예 24의 경우에서와 같이, 배선 보호막(1)은, 절연막(4)의 유형과는 무관하게 구리 배선(2)의 표면 상에만 형성될 수 있었다. 표 3은 각 실 시예의 보호막(1)에 대한 성분 조성비를 나타내고 있다. 어닐링 온도는, 실시예 14 및 21에서 400℃, 실시예 15 내지 19, 22 및 23에서 450℃, 실시예 20 및 24에서 500℃이다.
Figure 112004008065423-pat00039
전술한 단계들에 따라 제조된 실시예 14 내지 25의 반도체 장치는, 22% 수소 와 98% 헬륨 기체의 분위기 내에서, 400℃, 450℃, 500℃로 30분간 어닐링되었다.
400℃에서의 어닐링 후, 각 실시예의 반도체 장치의 표면 상에서는 구리가 검출되지 않았다. 표 3에 나타난 어닐링의 경우에서, 배선 재료인 구리의 확산은 관찰되지 않았다. 이에 의해, 실시예 14 내지 23의 배선 보호막(1)은 실시예 1의 배선 보호막보다 내열성이 낮아지지만, 구리 배선(2)의 형성 처리에서 400℃의 가열 온도에 대하여서도 아무런 문제없이 구리의 확산을 방지할 수 있다. 또한, 400℃의 열처리 전후에도 배선 저항은 변화하지 않았다. 구리 산화로 인한 배선 저항의 증가도 없는 것으로 확인되었다.
전술한 바와 같이, 본 실시예의 무전해 도금 방법을 이용하면, 구리 배선(2)의 배선 보호막(1)인 코발트-텅스텐-붕소 합금은 구리 배선(2) 상에 선택적으로 형성될 수 있다. 또한, 구리의 산화 및 확산이 방지될 수 있으므로, 높은 신뢰도의 반도체 장치를 얻을 수 있다.
(실시예 25 내지 35)
실시예 25 내지 35에서는, 표 4에 나타난 성분 조성비로 배선 보호막(1)이 형성된 반도체 장치가 제조되었다. 그리고 나서, 실시예 6에서와 동일한 수명 테스트가 실시되었다. 각 실시예에서의 반도체 장치는 실시예 6의 반도체 장치(도 4)와 동일한 구조를 갖는다.
실시예 25에서, 도 4에 도시된 반도체 장치의 절연막(4)으로서, 저 유전율의 유기 절연막이 사용된다. 사용된 유기 절연막 재료는 Dow Chemical사의 SiLK였다 (유전율 약 2.65).
Figure 112004008065423-pat00040
표 4에 주어진 결과에 따르면, (1) 코발트, (2) 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, (3) 붕소를 함유하는 코발트 합금막이 구리 배선(2)의 배선 보호막(1)으로서 형성되어 있는 반도체 장치 내에서, 배선 저항의 증가가 발생하지 않고 절연막(4) 내에서 구리가 검출되지 않는다는 것을 알 수 있다. 그러므로, 실시예 26 내지 35의 배선 보호막은 장시간동안 안정적이며, 구리 배선(2)의 산화와 구리 배선(2)으로부터 절연막(4)으로의 구리 확산이 방지될 수 있다. 이에 따라, 신뢰도가 높은 반도체 장치를 얻을 수 있다.
(실시예 36 내지 38과 참조예 4)
전술한 실시예들에서는, (1) 코발트, (2) 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, (3) 붕소를 함유하는 코발트 합금막이 구리 배선(2)의 배선 보호막(1)으로서 이용되었다. 다음으로는, 상기 코발트 합금막을 배리어막(3)으로서 이용하는 예를 설명한다.
실시예 36의 반도체 장치에서는, 코발트-텅스텐-붕소 합금이 배리어막(3)으 로서 이용되었다. 실시예 36에서, 실시예 1에서와 마찬가지로, 소자의 형성은 실리콘 기판 상에서 이루어져, 배선홈(7)과 접속홀(10)을 형성했다 (도 1c). 배선홈(7) 및 접속홀(10) 상에, 두께 5㎚의 코발트막이 스퍼터링법에 의해 촉매층으로서 형성되었다. 이 기판은 실시예 1에서 배선 보호막(1)을 형성하는 데에 이용된 표 5에 나타난 코발트 무전해 도금액에 침지되었다. 그 다음 코발트 무전해 도금이 실행되어, 코발트 무전해 도금막이 배리어막(3)으로서 형성되었다. 도금 조건은 실시예 1에서 배선 보호막(1)이 형성되었던 때와 동일했다. 본 실시예에서는, 코발트-텅스텐-붕소 합금이 무전해 도금에 의해 배리어막으로서 형성되었다. 한편, 본 발명은 이러한 방법에 국한되지 않으며, 스퍼터링이나 화학적 기상 증착법도 이용될 수 있다.
그 다음, 실시예 1의 경우에서와 같이, 구리 배선(2)이 전기 도금에 의해 형성되었다 (도 1g). 그러나, 본 실시예에서는, 실시예 1에서 행해졌던 구리 씨드층의 형성이 불필요했다. 배리어막(3)이 구리 도금의 급전층으로서 이용되었고, 구리는 배리어막(3) 상에 직접적으로 도금되었다. 그 다음, 실시예 1에서와 마찬가지로, 화학기계적 연마가 배리어막(3)까지 행해져서, 배선 도전체를 분리시켰다. 이 때, 구리 배선(2)과 그 아래의 코발트 무전해 도금막이 화학기계적 연마를 견디는 밀착력을 갖는 것으로 확인되었다. 세정 후, 스퍼터링에 의해, 두께 50㎚의 실리콘 질화막(SiN)이 구리 배선(2) 상에 배선 보호막(1)으로서 형성되었다.
실시예 37의 반도체 장치에서, 코발트-텅스텐-붕소 합금은 배리어막(3)은 물론 배선 보호막(1)으로서도 이용되었다. 실시예 36의 경우에서와 마찬가지로, 구 리 배선(2)이 형성되었고, 화학기계적 연마가 행해졌다. 그 다음, 실시예 1의 경우에서처럼, 코발트-텅스텐-붕소 합금의 배선 보호막(1)(60㎚ 두께)이 형성되었다.
실시예 38에서, 실시예 5에서 이용된 코발트계 무전해 도금액을 이용하여, 배리어막(3)이 형성되었다. 도금 조건은 다음과 같다.
pH값 : 8.5 (테트라메틸 암모늄에 의해 조정됨)
도금액 온도 : 60℃
도금 시간 : 5분
참조예 4에서는, 배리어막(3)이 코발트-텅스텐-인막으로 이루어진 반도체 장치가 제조되었다.
전술한 방법에 따라 제조된 실시예 36 내지 38의 반도체 장치의 배선홈(7) 및 접촉홀(10)의 저면과 측벽 상에, 50㎚ 두께의 코발트-텅스텐-붕소 합금막(실시예 36 및 37)과 40㎚ 두께의 코발트-텅스텐-붕소 합금막(실시예 38)이 배리어막으로서 균일하게 형성되었다. 구리 배선(2)은 100 위치에서 관찰되었으며, 보이드는 발견되지 않았다. 배선홈(7) 및 접속홀(10)은 구리에 의해 완전히 매립된 것으로 밝혀졌다. 절연막(4) 상에서는, 코발트 합금 피착이 발견되지 않았다. 따라서, 실시예 36 내지 38의 도금 절차에 따르면, 코발트-텅스텐-붕소 합금으로 이루어진 배리어막(3)의 균일 형성이 이루어질 수 있다.
그 다음, 각 실시예의 반도체 장치는 2% 수소와 98% 헬륨 기체의 분위기에서, 500℃로 30분간 어닐링되었다. 어떠한 실시예에서도, 표면 상에서 구리가 발견되지 않았다. 배선 재료인 구리의 확산은 관찰되지 않았다. 따라서, 코발트-텅 스텐-붕소 합금이 구리 배선(2)의 배리어막(3)으로서 기능한다는 것이 확인되었다.
그 다음, 실시예 6의 경우에서와 마찬가지로, 실시예 36 내지 38과 참조예 4의 반도체 장치에 대하여, 수명 테스트가 행해졌다. 각각의 반도체 장치는 도 2에 도시된 것과 같이 4층을 갖는다. 표 5는 각 실시예의 배리어층(3)의 성분 조성비와 배선 신뢰도의 평가 결과를 나타내고 있다.
배선 형태 - (a) 배선폭: 0.1 마이크론, (b) 막 두께: 1.0마이크론,
(c) 배선 길이: 2.5㎜
테스트 조건 - (a) 온도: 175℃, (b) 전류 밀도: 3×106 A/㎠
Figure 112004008065423-pat00041
따라서, 구리 배선(2)으로부터 절연막(4)으로의 구리 확산은 배리어막(3)에 의해 방지될 수 있다. 실시예 36 내지 38의 반도체 장치는 장시간의 안정성 및 높은 신뢰도를 특징으로 한다. 배리어(3)는, 종래에 이용되던 질화 티타늄, 질화 탄탈륨 및 질화 텅스텐 등의 금속 질화물과, 탄탈륨 및 텅스텐 등 고융점의 금속 또는 과 그 합금으로 이루어진 막보다 낮은 저항을 갖는다. 접속홀(10)의 저면과 그 아래의 구리 배선(2b) 간의 접속 저항은 이전보다 작아질 수 있으며, 반도체 장치의 배선 저항이 감소될 수 있다. 이 배리어막(3)이 전기 구리 도금을 위한 급전층으로서 이용되므로, 구리 씨드층을 형성할 필요가 없다. 이에 따라, 구리 배선(2)의 형성이 용이해진다.
실시예 36에서는, 코발트층이 스퍼터링에 의해 촉매층으로서 형성되었다. 코발트층은 스퍼터링 방법 대신 습식 방법에 의해 형성될 수 있었다. 습식 방법에 의해 팔라듐층이 형성된 경우, 배리어막(3)은 실시예 36의 경우에서와 같이 형성될 수 있었다. 코발트-텅스텐-붕소 합금 이외에, 배선 보호막(1)에 이용된 코발트 합금이 배리어막(3)으로서 이용되는 경우에, 구리 배선(2)으로부터 절연막(4)으로의 구리 확산은 방지될 수 있었다. 따라서, 높은 신뢰도의 반도체 장치를 얻을 수 있다.
(실시예 39)
도 5에 도시된 바와 같이, 실시예 39의 반도체 장치에는 층들 간에서 에칭 정지층(17 및 19)으로서 기능하는 절연막들이 제공되었다. 도 6에 도시된 바와 같이, 에칭 정지층(19)은 배선층의 상단에만 제공될 수 있다. 에칭 정지층을 제공함으로써, 에칭 제어가 용이해진다. 이하에서는, 도 7을 참조하여 본 실시예에 따른 반도체 장치의 제조 방법을 설명하도록 한다.
도 7은 듀얼 다마신 방법을 이용하여 도 5 또는 도 6에 주어진 반도체 장치의 배선을 형성하는 단계들을 도시하고 있다. 소자 형성은 절연막인 실리콘 기판(10a) 상에서 행해졌고, 하층 배선(2b)이 형성되어 있는 기판(도 10b) 상에, 600㎚ 두께의 제1 절연막(18)이 형성되었다 (도 10a). SiLK(유전율: 약 2.65)가 제1 절연막(16) 상에 스핀 코팅되었고, 질소(N2) 분위기 내에서 400℃로 30분간 열처리 및 경화가 실행되었다.
그 다음, 제1 에칭 정지층(17)이 제1 절연막(16) 상에 형성되었다 (도 10c). 50㎚ 두께의 메틸 실록산(methyl siloxane)(유전율: 약 2.8)이 플라즈마 CVD에 의해 에칭 정지층(17) 상에 형성되었다. 이 단계 후에, 제2 절연막(18) 및 제2 에칭 정지층(19)이 동일한 방식으로 형성되었다 (도 10d). 제2 절연막(18)은 400㎚ 두께의 SiLK이고, 제2 에칭 정지층(19)은 50㎚ 두께의 메틸 실록산이다.
SiO2막(20)(50㎚) 및 실리콘 질화막(21)(50㎚)이 제2 에칭 정지층(19) 상에 형성되었다. 실리콘 질화막(21)은 포토레지스트 마스크를 이용하여 플라즈마 에칭에 의해 패터닝되었다 (도 10e). 그 다음, 또 다른 포토레지스트(23)를 이용하여, 건식 에칭에 의해 개구(22)가 형성되었다 (도 10f).
질소, 수소 및 혼합 기체에 의해, 제2 절연막(18)이 포토레지스트(23)와 함께 개구(22)를 통하여 에칭되었다. 그 다음, 실리콘 질화막(21)은 마스크되고, SiO2막(20), 메틸 실록산막(19) 및 제1 에칭 정지층(17)이 C4H8, CO, Ar, O2의 혼합 기체에 의해 플라즈마 에칭되었다. 그 다음, 배선홈(7)(폭: 0.2마이크론) 및 접속홀(10)(직경: 0.2마이크론)이 질소와 수소의 혼합 기체를 이용한 에칭에 의해 형성되었다(도 10g0. 이 경우, 제1 에칭 정지막(17)은 에칭이 정지되는 위치이다.
그 다음, 다른 실시예들에서와 같이, 스퍼터링에 의한 배리어막(3)의 형성(도 10h), 씨드층(5)의 형성, 전기 구리 도금에 의한 구리 배선(2)의 형성(또는 무전해 구리 도금에 의해 배리어막(3) 상에 구리 배선(2)을 직접적으로 형성함), 화학기계적 연마, 및 배선 보호막(1)의 형성이 수행되었다. 화학기계적 연마의 경우에서, 에칭은 제2 에칭 정지층(19)에서 정지된다.
배리어막(3) 및/또는 배선 보호막(1)은 다른 실시예에서와 마찬가지로 (1) 코발트, (2) 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, (3) 붕소를 함유하는 코발트 합금막으로 형성되었다.
무기 절연막 또는 유기 절연막 중 하나가 절연막으로서 이용될 수 있지만, 유기 절연막이 더 바람직하다. 무기 절연막은 SiO2, 메틸 실록산, 실세스퀴옥산 하이드록사이드 및 메틸 실록산 하이드록사이드와 같은 실록산 결합을 갖는 재료가 바람직하며, 코팅 방법 및 플라즈마 CVD 방법에 의해 형성될 수 있다. 유기 절연막은 SiLK(Dow Chemical사의 상품명), BCD(Dow Chemical사의 상품명), FLARE(Allied Signal사의 상품명) 및 VELOX(Shuhmacher사의 상품명)와 같은 방향족 화합물을 함유하는 저 유전율의 탄화수소계 유기 절연막으로 제조될 수 있다. 에칭 정지층은 무기 절연막과 같은 SiO2, 메틸 실록산, 실세스퀴옥산 하이드록사이드, 메틸 실록산 하이드라이드, 및 실록산 결합, 질화 실리콘, 탄화 실리콘을 함유하는 다른 재료들로 제조될 수 있다. 절연막 및 에칭 정지층은 이러한 재료들의 조합으로 제조될 수 있다. 반도체 장치의 배선 시스템을 향상시키기 위해, 종래에 이용되던 SiO2(유전율: 약 4.3) 또는 질화 실리콘(유전율: 약 7.0 내지 9.0)보다 낮은 유전율을 갖는 재료를 이용하는 것이 바람직하다.
도 6에 도시되어 있는 바와 같이, 배선홈(7)이 형성된 때, 에칭 정지 위치에서 수 나노미터 정도의 차이가 발생했다. 에칭 정지층(19)이 배선층의 상단에만 제공되는 경우, 수명 테스트에서는 아무런 문제가 없는 것으로 확인되었다.
상기 제조 방법에 따라 제조된 반도체 장치에서, 구리 배선(2)의 산화 및 확산이 배선 보호막(1)과 배리어막(3)에 의해 장시간동안 방지될 수 있고, 구리 배선(2)의 저항 증가도 감소될 수 있어서, 높은 신뢰도를 보장한다. 특히, 코발트 합금막이 배선 보호막(1)으로서 이용되는 경우, 유전율이 높은 재료인 질화 실리콘을 이용하는 경우에 비해, 배선간 전기 용량이 약 10% 감소될 수 있다. 이에 따라, 신호 전송 지연이 감소된다. 낮은 유전율의 유기 절연막을 이용함으로써, 배선 시스템의 효율이 증가된다.
접속홀(10)의 저면과 그 아래의 구리 배선(2b) 간을 접속하기 위해, 통상의 수단에 따라 절연성 배선 보호막이 제거된다 (도 10d 참조). 또한, 종래의 수단에 따르면, 배선 보호막이 남아있는 경우, 접속 실패가 발생한다. 도전성 코발트 합금막이 보호막(1)으로서 이용되는 경우, 배선 보호막(1)을 제거하지 않고서도 전기 접속이 보장될 수 있다. 이에 따라, 접속홀(10) 형성시의 에칭 접속이 용이해지며, 반도체 장치의 신뢰도가 향상된다. 또한, 코발트 합금막은 구리보다 높은 저항을 갖는다. 도 11 및 도 12에 도시되어 있는 바와 같이, 접속홀(10)의 저면 상에 있는 배선 보호막(1)의 적어도 일부가 제거되는 경우, 하층 상의 구리 배선(2b)과 구리 배선(2) 간의 접속에 가해지는 저항을 감소시킴으로써, 배선 시스템 효율을 향상시킬 수 있다. 더 상세하게는, 도 7g에 도시된 바와 같이, 배선홈(7) 및 접속홀(10)이 형성된 후, 접속홀(10)의 저면 상의 코발트 합금은 2 중량%의 황산액으로의 침지에 의해 용해되어 제거되었다. 상층 상에 있는 구리 배선은 다른 실시예에서와 같이 형성되어, 도 11에 도시된 바와 같이, 배리어막(3)을 통해 하층 상의 구리 배선(2b)과 구리 배선(2) 간의 전기 접속이 이루어지게 하였다. 이에 따라, 배선 플러그(11)에 가해지는 저항을 감소시킬 수 있다.
구리 배선이 제공된 반도체 장치에서, 절연막 내에 형성된 구리 배선의 상면을 덮는 배선 보호막과, 구리 배선의 측면 및 저면을 둘러싼 배리어막은, (1) 코발트, (2) 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, (3) 붕소를 함유하는 코발트 합금막으로 덮인다. 이와 같이 하면, 배선 재료인 구리의 산화 및 확산이 방지되어, 높은 신뢰도의 구리 배선 및 소자를 얻을 수 있다. 또한, 팔라듐을 이용하지 않고서 배선 보호막을 형성할 수 있으므로, 팔라듐으로 인한 배선 저항의 증가를 방지할 수 있다.
상기 코발트 합금막이 배선 보호막으로서 이용되는 경우, 배선 보호막은 구리 배선 상에만 선택적으로 형성될 수 있다. 또한, 배리어막이 상기 도전성 코발트 합금막으로 형성되는 경우, 구리는 급전층없이 배리어막 상에 직접적으로 전기 도금될 수 있으므로, 보이드의 형성을 방지하고 씨드층의 형성을 불필요하게 할 수 있다.

Claims (17)

  1. 반도체 장치에 있어서,
    절연막 내에 형성된 다층의 구리 배선;
    상기 구리 배선의 상면을 덮는 배선 보호막; 및
    상기 구리 배선의 측면 및 저면을 둘러싼 배리어막
    을 포함하고,
    상기 배선 보호막 및 상기 배리어막 중 적어도 하나는, 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, 및 붕소를 함유하는 코발트를 주 성분으로 하는 코발트 합금막으로 형성된 것을 특징으로 하는 반도체 장치.
  2. 절연막 중에 다층으로 형성된 구리 배선과, 상기 구리 배선 상을 피복하는 배선 보호막과, 상기 구리 배선의 측면 및 저면을 둘러싸는 배리어막을 포함하는 반도체 장치에 있어서,
    상기 배선 보호막은 크롬; 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나; 및 붕소를 함유하는 코발트 합금막으로 이루어지고, 상기 배리어막은 티타늄, 탄탈륨 및 텅스텐, 이들의 금속으로 이루어지는 합금, 질화 티타늄, 질화 탄탈륨 및 질화 텅스텐 중에서 선택되는 하나의 고융점 재료로 이루어지는 것을 특징으로 하는 반도체 장치.
  3. 제1항 또는 제2항에 있어서, 상기 절연막은 3 이하의 유전율을 갖는 것을 특 징으로 하는 반도체 장치.
  4. 제1항 또는 제2항에 있어서, 상기 코발트 합금막은 100㎚ 이하의 바람직한 두께를 갖고, 50 내지 95 원자%의 코발트와, 1 내지 40 원자%의 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나와, 0.1 내지 10 원자%의 붕소를 함유하는 것을 특징으로 하는 반도체 장치.
  5. 제1항 또는 제2항에 있어서,
    하층의 구리 배선과 상층의 구리 배선은 상기 코발트 합금막을 통해 전기적 접속이 확보되어 있는 것을 특징으로 하는 반도체 장치.
  6. 제1항 또는 제2항에 있어서, 상기 배선 보호막이 형성된 영역을 제외한 상기 절연막의 표면 상에 상기 절연막에 대한 에칭을 정지시키는 에칭 정지층으로서 기능하는 절연막을 또한 형성하는 것을 특징으로 하는 반도체 장치.
  7. 제1항 또는 제2항에 있어서,
    하층의 구리 배선과 상층의 구리 배선은, 티타늄, 탄탈륨 및 텅스텐, 이들 금속으로 이루어지는 합금, 질화 티타늄, 질화 탄탈륨 및 질화 텅스텐 중에서 선택되는 하나의 고융점 재료로 이루어지는 상기 배리어막을 통해 전기적 접속이 확보되어 있는 것을 특징으로 하는 반도체 장치.
  8. 제1항 또는 제2항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
  9. 반도체 장치의 제조 방법에 있어서,
    상기 반도체 장치는,
    절연막 내에 형성된 다층의 구리 배선;
    상기 구리 배선의 상면을 덮는 배선 보호막; 및
    상기 구리 배선의 측면 및 저면을 둘러싼 배리어막
    을 포함하고,
    상기 배선 보호막 및 상기 배리어막 중 적어도 하나는, 실질적으로 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, 및 붕소를 함유하는 코발트를 주 성분으로 하는 코발트 합금막으로 무전해 도금에 의해 형성된 것을 특징으로 하는 반도체 장치의 제조 방법.
  10. 반도체 장치의 제조 방법에 있어서,
    상기 반도체 장치는,
    절연막 내에 형성된 다층의 구리 배선;
    상기 구리 배선의 상면을 덮는 배선 보호막; 및
    상기 구리 배선의 측면 및 저면을 둘러싼 배리어막
    을 포함하고,
    상기 구리 배선이 형성되는 절연막의 표면 상에, 에칭 정지층으로서 기능하는 절연막을 형성하는 단계를 포함하며,
    상기 배선 보호막 및/또는 상기 배리어막은, (1) 코발트, (2) 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나, 및 (3) 붕소를 함유하는 코발트 합금막으로서 무전해 도금 방법(electroless plating method)에 의해 형성된 것을 특징으로 하는 반도체 장치의 제조 방법.
  11. 제9항 또는 제10항에 있어서, 상기 구리 배선은 무전해 도금에 의해 제조되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  12. 제9항 또는 제10항에 있어서, 상기 코발트 합금막은 100㎚ 이하의 바람직한 두께를 갖고, 50 내지 95 원자%의 코발트와, 1 내지 40 원자%의 크롬, 몰리브덴, 텅스텐, 레늄, 탈륨 및 인 중 적어도 하나와, 0.1 내지 10 원자%의 붕소를 함유하는 반도체 장치의 제조 방법.
  13. 제3항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
  14. 제4항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
  15. 제5항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
  16. 제6항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
  17. 제7항에 있어서, 상기 코발트 합금은 팔라듐을 함유하지 않는 것을 특징으로 하는 반도체 장치.
KR1020010011926A 2000-03-08 2001-03-08 반도체 장치 및 제조 방법 KR100553620B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2000-068615 2000-03-08
JP2000068615 2000-03-08
JP2000260977 2000-08-30
JP2000-260977 2000-08-30
JP2001-040640 2001-02-16
JP2001040640A JP3979791B2 (ja) 2000-03-08 2001-02-16 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
KR20010100810A KR20010100810A (ko) 2001-11-14
KR100553620B1 true KR100553620B1 (ko) 2006-02-22

Family

ID=27342640

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010011926A KR100553620B1 (ko) 2000-03-08 2001-03-08 반도체 장치 및 제조 방법

Country Status (4)

Country Link
US (1) US6680540B2 (ko)
JP (1) JP3979791B2 (ko)
KR (1) KR100553620B1 (ko)
TW (1) TW494531B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170038765A (ko) * 2014-07-25 2017-04-07 인텔 코포레이션 반도체 디바이스의 텅스텐 합금

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
TW476134B (en) * 2000-02-22 2002-02-11 Ibm Method for forming dual-layer low dielectric barrier for interconnects and device formed
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
KR20020034373A (ko) * 2000-11-01 2002-05-09 박종섭 반도체소자의 금속배선 형성방법
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US6977224B2 (en) 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
KR20040018558A (ko) * 2001-08-13 2004-03-03 가부시키 가이샤 에바라 세이사꾸쇼 반도체장치와 그 제조방법 및 도금액
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US6672716B2 (en) * 2002-04-29 2004-01-06 Xerox Corporation Multiple portion solid ink stick
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6875691B2 (en) * 2002-06-21 2005-04-05 Mattson Technology, Inc. Temperature control sequence of electroless plating baths
US6800938B2 (en) * 2002-08-08 2004-10-05 International Business Machines Corporation Semiconductor device having amorphous barrier layer for copper metallurgy
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US6790775B2 (en) * 2002-10-31 2004-09-14 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
KR20040039591A (ko) * 2002-11-04 2004-05-12 주식회사 하이닉스반도체 구리 확산방지막 형성방법 및 이를 이용한 구리배선제조방법
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7294934B2 (en) * 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US20040108136A1 (en) * 2002-12-04 2004-06-10 International Business Machines Corporation Structure comprising a barrier layer of a tungsten alloy comprising cobalt and/or nickel
US7825516B2 (en) * 2002-12-11 2010-11-02 International Business Machines Corporation Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US6838354B2 (en) * 2002-12-20 2005-01-04 Freescale Semiconductor, Inc. Method for forming a passivation layer for air gap formation
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
US7094679B1 (en) * 2003-03-11 2006-08-22 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Carbon nanotube interconnect
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US7081673B2 (en) * 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7883739B2 (en) * 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US7087104B2 (en) * 2003-06-26 2006-08-08 Intel Corporation Preparation of electroless deposition solutions
JP4638140B2 (ja) * 2003-07-09 2011-02-23 マグナチップセミコンダクター有限会社 半導体素子の銅配線形成方法
JP2005036285A (ja) 2003-07-15 2005-02-10 Tokyo Electron Ltd 無電解メッキ用前処理液及び無電解メッキ方法
JP2005048209A (ja) * 2003-07-30 2005-02-24 Hitachi Ltd 無電解メッキ方法、無電解メッキ装置、半導体装置の製造方法及びその製造装置
JP2005056945A (ja) * 2003-08-08 2005-03-03 Hitachi Ltd 半導体装置の製造方法
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
JP4401912B2 (ja) * 2003-10-17 2010-01-20 学校法人早稲田大学 半導体多層配線板の形成方法
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
JP4230334B2 (ja) * 2003-10-31 2009-02-25 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
KR100570070B1 (ko) * 2003-11-18 2006-04-10 매그나칩 반도체 유한회사 습기창을 구비한 구리배선의 신뢰성 측정용 테스트패턴 및그 제조 방법
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
JP3910973B2 (ja) 2004-04-22 2007-04-25 株式会社東芝 半導体装置の製造方法
DE102004021239B4 (de) * 2004-04-30 2017-04-06 Infineon Technologies Ag Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren
US7268074B2 (en) * 2004-06-14 2007-09-11 Enthone, Inc. Capping of metal interconnects in integrated circuit electronic devices
WO2006020566A1 (en) * 2004-08-09 2006-02-23 Blue29, Llc Methods for forming a barrier layer with periodic concentrations of elements and structures resulting therefrom and systems and method affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes
JP2006093357A (ja) * 2004-09-22 2006-04-06 Ebara Corp 半導体装置及びその製造方法、並びに処理液
US7332193B2 (en) * 2004-10-18 2008-02-19 Enthone, Inc. Cobalt and nickel electroless plating in microelectronic devices
US7879710B2 (en) * 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
JP2006179845A (ja) 2004-11-26 2006-07-06 Fuji Photo Film Co Ltd 金属用研磨液及び研磨方法
KR100668833B1 (ko) * 2004-12-17 2007-01-16 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조방법
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7422979B2 (en) * 2005-03-11 2008-09-09 Freescale Semiconductor, Inc. Method of forming a semiconductor device having a diffusion barrier stack and structure thereof
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
EP1702965A3 (en) * 2005-03-17 2007-07-25 FUJIFILM Corporation Metal chemical mechanical polishing solution and polishing method
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
WO2006102180A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
JP4790297B2 (ja) * 2005-04-06 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20060280860A1 (en) * 2005-06-09 2006-12-14 Enthone Inc. Cobalt electroless plating in microelectronic devices
US7687400B2 (en) * 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7946331B2 (en) * 2005-06-14 2011-05-24 Cufer Asset Ltd. L.L.C. Pin-type chip tooling
US20060281303A1 (en) * 2005-06-14 2006-12-14 John Trezza Tack & fuse chip bonding
US7767493B2 (en) * 2005-06-14 2010-08-03 John Trezza Post & penetration interconnection
US20060278996A1 (en) * 2005-06-14 2006-12-14 John Trezza Active packaging
US7851348B2 (en) * 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
US8456015B2 (en) * 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US7838997B2 (en) * 2005-06-14 2010-11-23 John Trezza Remote chip attachment
US7560813B2 (en) 2005-06-14 2009-07-14 John Trezza Chip-based thermo-stack
US7786592B2 (en) * 2005-06-14 2010-08-31 John Trezza Chip capacitive coupling
US7781886B2 (en) 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
JP2007027460A (ja) * 2005-07-19 2007-02-01 Sony Corp 半導体装置及びその製造方法
US7410899B2 (en) * 2005-09-20 2008-08-12 Enthone, Inc. Defectivity and process control of electroless deposition in microelectronics applications
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US7582557B2 (en) 2005-10-06 2009-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Process for low resistance metal cap
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US20070210448A1 (en) * 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
US7348648B2 (en) * 2006-03-13 2008-03-25 International Business Machines Corporation Interconnect structure with a barrier-redundancy feature
US7800228B2 (en) * 2006-05-17 2010-09-21 International Business Machines Corporation Reliable via contact interconnect structure
US7687397B2 (en) * 2006-06-06 2010-03-30 John Trezza Front-end processed wafer having through-chip connections
US20070281460A1 (en) * 2006-06-06 2007-12-06 Cubic Wafer, Inc. Front-end processed wafer having through-chip connections
CN101473427A (zh) * 2006-06-21 2009-07-01 Nxp股份有限公司 低功率应用的半导体器件及其制造方法
JP2008060243A (ja) * 2006-08-30 2008-03-13 Nec Electronics Corp 半導体装置およびその製造方法
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US7601264B2 (en) * 2006-10-04 2009-10-13 Applied Materials, Inc. Method for treatment of plating solutions
US20080083623A1 (en) * 2006-10-04 2008-04-10 Golden Josh H Method and apparatus for treatment of plating solutions
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US20080157910A1 (en) * 2006-12-29 2008-07-03 Park Chang-Min Amorphous soft magnetic layer for on-die inductively coupled wires
KR101088926B1 (ko) 2006-12-29 2011-12-01 쿠퍼 에셋 엘티디. 엘.엘.씨. 쓰루-칩 연결부들을 지닌 프론트-엔드 공정처리된 웨이퍼
JP2008172051A (ja) * 2007-01-12 2008-07-24 Nec Electronics Corp 半導体装置およびその製造方法
US7670874B2 (en) 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
RU2008111820A (ru) * 2007-03-29 2009-10-10 Ибара Корпорейшн (JP) Электролит для нанесения гальванических покрытий методом химического восстановления и способ получения элемента высокотемпературного устройства с применением такого электролита
US7777344B2 (en) 2007-04-11 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Transitional interface between metal and dielectric in interconnect structures
US20080254205A1 (en) * 2007-04-13 2008-10-16 Enthone Inc. Self-initiated alkaline metal ion free electroless deposition composition for thin co-based and ni-based alloys
KR100857229B1 (ko) * 2007-05-28 2008-09-05 삼성전자주식회사 반도체 소자 및 그 형성방법
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7956466B2 (en) 2008-05-09 2011-06-07 International Business Machines Corporation Structure for interconnect structure containing various capping materials for electrical fuse and other related applications
US8772156B2 (en) * 2008-05-09 2014-07-08 International Business Machines Corporation Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications
KR101254407B1 (ko) 2008-08-07 2013-04-15 제이엑스 닛코 닛세키 킨조쿠 가부시키가이샤 무전해도금에 의해 구리 박막을 형성한 도금물
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
DE102008044988A1 (de) * 2008-08-29 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US20100104770A1 (en) * 2008-10-27 2010-04-29 Asm Japan K.K. Two-step formation of hydrocarbon-based polymer film
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
KR101616044B1 (ko) * 2009-07-03 2016-04-28 삼성전자주식회사 무전해 도금에 의해 형성된 랜딩 패드를 포함한 반도체 소자
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US20110127673A1 (en) * 2009-12-01 2011-06-02 International Business Machines Corporation Wiring structure and method
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8569888B2 (en) 2011-05-24 2013-10-29 International Business Machines Corporation Wiring structure and method of forming the structure
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US10134631B2 (en) * 2011-08-17 2018-11-20 International Business Machines Corporation Size-filtered multimetal structures
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20140199497A1 (en) * 2013-01-14 2014-07-17 Tighe A. Spurlin Methods for reducing metal oxide surfaces to modified metal surfaces
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9514986B2 (en) * 2013-08-28 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Device with capped through-substrate via structure
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150235953A1 (en) * 2014-02-14 2015-08-20 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US11685999B2 (en) * 2014-06-02 2023-06-27 Macdermid Acumen, Inc. Aqueous electroless nickel plating bath and method of using the same
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9349691B2 (en) 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10181421B1 (en) * 2017-07-12 2019-01-15 Globalfoundries Inc. Liner recess for fully aligned via
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593599B2 (en) 2018-03-07 2020-03-17 Globalfoundries Inc. Contact structures
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11043454B2 (en) 2019-01-17 2021-06-22 Samsung Electronics Co., Ltd. Low resistivity interconnects with doped barrier layer for integrated circuits
KR20210004231A (ko) 2019-07-03 2021-01-13 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
KR102370279B1 (ko) * 2020-05-29 2022-03-04 고려대학교 산학협력단 코발트-텅스텐 합금 및 그의 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06275612A (ja) * 1993-03-19 1994-09-30 Fujitsu Ltd 集積回路装置の製造方法
US5453642A (en) * 1993-12-02 1995-09-26 International Business Machines Corporation Multilayer interconnect systems
US5670420A (en) * 1994-12-05 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method of forming metal interconnection layer of semiconductor device
JP2000049116A (ja) * 1998-07-30 2000-02-18 Toshiba Corp 半導体装置及びその製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01116906A (ja) 1987-10-29 1989-05-09 Matsushita Electric Ind Co Ltd 浮動形磁気ヘッド
JP2798566B2 (ja) 1992-10-07 1998-09-17 京セラ株式会社 回路基板の製造方法
JP3556377B2 (ja) 1996-02-29 2004-08-18 京セラ株式会社 配線基板
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6083842A (en) * 1999-02-19 2000-07-04 Advanced Micro Devices Inc. Fabrication of a via plug having high aspect ratio with a diffusion barrier layer effectively surrounding the via plug
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6335104B1 (en) 2000-02-22 2002-01-01 International Business Machines Corporation Method for preparing a conductive pad for electrical connection and conductive pad formed

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06275612A (ja) * 1993-03-19 1994-09-30 Fujitsu Ltd 集積回路装置の製造方法
US5453642A (en) * 1993-12-02 1995-09-26 International Business Machines Corporation Multilayer interconnect systems
US5670420A (en) * 1994-12-05 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method of forming metal interconnection layer of semiconductor device
JP2000049116A (ja) * 1998-07-30 2000-02-18 Toshiba Corp 半導体装置及びその製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170038765A (ko) * 2014-07-25 2017-04-07 인텔 코포레이션 반도체 디바이스의 텅스텐 합금
KR102330792B1 (ko) * 2014-07-25 2021-11-25 인텔 코포레이션 텅스텐 합금을 갖는 반도체 디바이스 및 컴퓨팅 디바이스
KR20210145840A (ko) * 2014-07-25 2021-12-02 인텔 코포레이션 텅스텐 합금을 갖는 반도체 디바이스 및 컴퓨팅 디바이스
US11195798B2 (en) 2014-07-25 2021-12-07 Intel Corporation Tungsten alloys in semiconductor devices
KR102408283B1 (ko) * 2014-07-25 2022-06-14 인텔 코포레이션 텅스텐 합금을 갖는 반도체 디바이스 및 컴퓨팅 디바이스

Also Published As

Publication number Publication date
JP3979791B2 (ja) 2007-09-19
US20010030366A1 (en) 2001-10-18
JP2002151518A (ja) 2002-05-24
KR20010100810A (ko) 2001-11-14
US6680540B2 (en) 2004-01-20
TW494531B (en) 2002-07-11

Similar Documents

Publication Publication Date Title
KR100553620B1 (ko) 반도체 장치 및 제조 방법
US7476974B2 (en) Method to fabricate interconnect structures
US6824665B2 (en) Seed layer deposition
KR100711526B1 (ko) 구리 연결선을 갖는 반도체 장치의 제조방법
US20030116439A1 (en) Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20050029662A1 (en) Semiconductor production method
WO2011084666A2 (en) Superfilled metal contact vias for semiconductor devices
WO1999010916A2 (en) Copper electroless deposition on a titanium-containing surface
US6398855B1 (en) Method for depositing copper or a copper alloy
US20070298607A1 (en) Method for copper damascence fill for forming an interconnect
KR101170560B1 (ko) 반도체 산업에서 사용하기 위한 3성분 물질의 무전해석출용 조성물
US6380083B1 (en) Process for semiconductor device fabrication having copper interconnects
EP3034655A1 (en) Trench pattern wet chemical copper metal filling using a hard mask structure
KR100283108B1 (ko) 반도체소자의 구리배선 형성방법
KR101076927B1 (ko) 반도체 소자의 구리 배선 구조 및 그 형성방법
EP1215305B1 (en) Method for preparing an electroplating bath and related copper plating process
JP4202016B2 (ja) 電気めっき浴を準備する方法および関連した銅めっきプロセス
KR100421913B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100451767B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100858873B1 (ko) 구리 무전해 도금법을 이용한 대머신 금속배선 형성방법
EP1022355B1 (en) Deposition of copper on an activated surface of a substrate
KR100451766B1 (ko) 반도체 소자의 금속 배선 형성방법
KR20000044857A (ko) 반도체 소자의 콘택 플러그 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130118

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140117

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180202

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee