TW419790B - Method and apparatus for forming laminated thin films or layers - Google Patents

Method and apparatus for forming laminated thin films or layers Download PDF

Info

Publication number
TW419790B
TW419790B TW087102706A TW87102706A TW419790B TW 419790 B TW419790 B TW 419790B TW 087102706 A TW087102706 A TW 087102706A TW 87102706 A TW87102706 A TW 87102706A TW 419790 B TW419790 B TW 419790B
Authority
TW
Taiwan
Prior art keywords
gas
film
cavity
layer
forming
Prior art date
Application number
TW087102706A
Other languages
English (en)
Inventor
Tsuyoshi Hashimoto
Kimihiro Matsuse
Kazuya Okubo
Tsuyoshi Takahashi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW419790B publication Critical patent/TW419790B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/08Preparation of the foundation plate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

419790 A7- _______B7 _ 五、發明説明(1 ) 本發明係關於一用以形成層壓薄膜或層壓層的方法及 裝置,此層壓薄膜或層壓層為在如半導體晶片或玻璃基材 等基材上的多層型結構,用來形成如MOSFET中的閘極。 在一般製造半導體積體電路的方法中,在如半導體晶 片及玻璃基材等基材上的薄膜形成,以及所形成薄膜的圖 像形成蝕刻被重覆地實施以得到想要的半導體組件。 第9A和9B圖一起顯示一個常見的用來在半導體晶片 表面上,形成作為MOSFET閘極組件的層壓薄膜的方法。 如第9A圖所示,一種導電性形式的雜質擴散經過晶片w 的表面而形成源極區域2以及汲極區域4,接著在這些源極 和汲極區域之間的晶片表面形成如Si〇2等組成的閘極氧化 膜6。 結果在閘極氧化膜6之下形成了 一通道區域,而此通 道區域是夾在源極區域2和汲極區域4之間》 接著一個導電用的多層型結構閘極8在閘極氧化膜6的 上面形成而製成一MOS電晶體。 經濟部中央標準局員工消費合作杜印製 一般而言’閘極8不是一單層結構,在最近幾年,因 考慮到電極的導電性,閘極8是一個雙層的結構《在第9 A 和9B圖所顯示的先前技藝中,摻雜磷的多晶體矽(多晶梦) 層10直接形成於閘極氧化膜上。接著如第9A圖所示,一 個金屬矽化物層(例如矽化鎢層12)直接形成於多晶矽層10 之上。因而此閘極8為一包含有摻雜磷的多晶矽層和矽 化鎢層12的雙層結構。 最近半導體積體電路做的愈來愈精細以增加整合程度 本紙張尺度適用中國國家標準(CNS ) Μ規格(210X297公逄) i Λ19Τ90 kl — __B 7 五、發明説明(2 ) >自然對於工作線寬與閘極寬度縮小的需求變得愈來愈迫 切。同時薄膜厚度趨於減少以符合多層型結構組件的需求 在這些條件下,盡管每一層的厚度減少,形成多層型結 構的各個獨立層以及相鄰之層需要具有與先前技藝中薄層 相等或是更好的電性β 如第9Α圈所示,包含有摻雜磷的多晶矽層1〇和矽化 鎢層12的雙屠型結構的閛極8是為了符合前述需求。 需一提的是在暴露於含有水蒸氣的大氣中,如第9β 圖所不,一自生氧化物膜14很容易形成於像是摻雜磷的多 晶矽層之類,以矽為主的薄膜之表面上。如果矽化鎢層}1 直接形成於氧化物膜14之上,多晶矽層1〇和矽化鎢層12間 的鍵結強度變得比較弱,除此之外,無法保證在層1〇和11 之間有足夠的導電度,而導致閘極8的電性變差。 鲤濟部中央標準局員工消費合作社印震 一般情形,多晶矽層1〇是在同時處理每一批次中許多 數目晶片(例如150片)的大量系統中形成。相反地,矽化 鎢層是經由一個接一個的方法形成。因此在大量系統中各 晶片上多晶矽層在大氣中的暴露時間並不相同,使得在多 晶矽層10上形成的自生軋化物膜的厚亦不同。要克服此困 難,使用像以HF為基本組成之蒸氣的溼式蝕刻被用於多 晶石夕層10的表面來去除自生氧化物膜14。 然而即使在矽化鎢膜12形成之前立刻用溼式蝕刻除去 自生氧化物膜’仍舊很難完全防止多晶矽層1〇之底層受到 不良影響。 用來克服前述困難的步驟提出於曰本專利公開(K〇kai) 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨〇>< 297公嫠) J419790 經濟部中央標準局員工消費合作社印裝 Λ 7 _B7___.五、發明説明(3 ) 號碼2-292866之t。其提出在製程腔體中形成摻雜磷的多 晶矽層10,接著接連在同樣的製程腔體中形成矽化鎢層12 如上述先前技藝所提出的,將摻雜磷的多晶矽層10和 矽化鎢層12接連形成於同一個製程腔體中,當然可以防止 在多晶矽層10上面形成自生氧化物膜,而能形成具良好電 性的電極8。在此情形然而卻產生了 一個新的問題。以較 特定的情形為例,當一批次25個晶片被接連處理時,必須 小心注意到製程腔體壁面或是包含製程腔體在内的晶片製 程裝置内部因熱發散速率因素造成的熱不穩定性β如果摻 雜雜質的多晶矽層或類似之物在此狀況下形成,所形成薄 層的再製性會變差。 再者,如果掺雜的多晶矽層10和矽化鎢層12是接連的 形成’應力會.殘留在上面的矽化鎢層,導致矽化鎢層和下 面多晶矽層間的鍵結強度變弱*同樣需一提的是,在矽化 鎢層形成之後,一般而言退火處理是實行於900eC。在退 火步驟中’氡被擴散至矽化鎢層12而使得電極8的電性變 差β 再者,反應速率是限制於形成多晶矽層的反應;然而 反應物供應速率是限制於形成矽化鎢層的反應。應一提的 是一般用來導入反應物的喷灑頭結構是無法應付前述速率 限制形式的不同。因而使氣流在形成換雜麟的多晶石夕層或 是矽化鎢層的步驟時,無法在整個基材表面上均勻流動, 導致所形成薄膜厚度的均勻性變差。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公嫠) (请先閱讀背面之注意事項"填寫本頁) -裝
*tT 經濟部中央標隼局員工消費合作社印褽 '4;979〇 A7 ____________B7 五、發明説明(4 ) 本發明的目的在於提供一個具有卓越薄膜形成再製性 的形成薄膜之方法,其可消除殘留在形成薄膜上的應力, * 並同時在形成薄膜時防止氧擴散至薄膜中。 另外一目的是提供一用來形成薄膜的裝置,其均可應 付反應速率限制式的反應和反應物供應速率限制式的反應 〇 在本發明中,許多數量的預塗佈層形成於各個製程腔 體的内壁,以及包含製程腔體在内的製程裝置的内結構中 。這些預塗佈層的數目和實際形成在基材上薄膜的數目相 同β並且這些預塗佈層是形成於在基材上所要的薄膜之前 。因而像是從製程腔體内壁或是製程裝置内結構的熱發散 速率之類的内部環境’在實際形成基材上所要薄膜的步驟 中可被穩定下來。由於所要的薄膜是在穩定之内環境下接 連形成於基材上,在本發明中薄膜形成的再製性可顯著改 善。 再者’在本發明中給予形成的薄膜一後處理,因而碎 稍微和所形成薄膜的表面相連。值得一提的是相連的碎可 緩和施在所形成薄臈上的應力,使得在基材上所形成的相 鄰之薄膜間的鍵結強度得以改善β更進一步,即使在後處 理時給予基材熱處理,相連接的矽可防止氧侵蝕如多晶梦 之矽,而使其可防止氧擴散至形成的薄膜。 在本發明中,薄膜形成步驟和後處理步驛是在單_製 程裝置中連續施於單一基材上,接著從製程裝置中將處理 過的基材移走。接著這些薄膜形成步驟和後處理步驟在同 -------------A------IT------線 (請先閲讀背面之注意事項声.4;寫本頁) 本紙張尺度適用中國國家標牟(CNS ) A4規格(210X2?7公釐) 經濟部中央標隼局貝工消費合作杜印製 419790 Λ7 _____B7 五、發明説明(5 ) 一製程裝置中施於另一單一基材,接著將處理過的基材從 製程裝置中取出。以此方式,許多數董的基材,例如一批 次的25個晶片被連續地處理著。 在完成對一預先決定數目的基材完成薄膜形成的製程 後,一清潔氣體被供應至製程腔體作為清潔之用,接著供 應矽烷氣體至製程腔體做熱處理。在清潔之用的後處理中 以矽烷氣體做熱處理,使其能降低殘留在製程腔體中鹵素 元素的量。此可有效的縮短在基材上形成薄膜前的預塗佈 時間* 本發明之特殊薄膜形成製程可用來在摻雜磷的多晶石夕 層上沈積矽化鎢層,而形成如MOSFET上之閘極。 本發明中用來形成許多數目薄膜之裝置包含有一個喷 濃頭部份’其配有一個上面有很多數目的分散孔之均勻分 散板a需一提的是在本發明中這些分散孔的直徑和設置密 度都處理的很怜當。因此用來形成所需薄膜的反應物氣體 在供應反應物氣體於反應速率限制式反應之步驟中,以及 供應反應物氣體於反應物供應速率限制反應中,都能在製 程腔體内均勻的覆蓋筆個範圍。因而用本發明的裝置所成 的薄膜,均可在其整個範圍能有高度均勻的厚度。 在本發明中,前面所提的均勻分散板在最上面平台有 一氣體喷射區域。同時每個分散孔的直徑最多設為0.7毫 米。此外這些分散孔被設置為密度至少0.3孔/cm2(每平 方公分0.3個孔)。 本發明之其餘目的及優點將在之後的描述中說明,並 本紙張尺度適用子國國家標準(CNS ) A4規格(210X297公釐) 9 --- --------裝-- (請先閱讀背面、v^意Ϋ項/填寫本頁) -訂 419790 Λ7 B7 經濟部中央禕準局員工消費合作社印製 五、發明説明(6 且有些會從描述中而明顯看出,或由本發明的實例而查覺 。本發明之目的與優點可藉由附屬之申請專利範圍中特別 指出的構造及組合而瞭解得知。 併入於本說明書t並構成其部份之所附圓示說明了本 發明之較佳實施例’以及前面一般描述和之後較佳實施例 之詳細描述’作為闌釋本發明之原理用。 圖示的簡單說明 第1圖為一橫截面圖顯示了用來實行本發明之一薄膜 形成方法的薄膜形成裝置的建構; 第2圖為一部份平面圖,顯示第1圖所示薄膜形成裝置 的喷灑頭部份内所設置的均勻分散板; 第3圖為一流程圖,顯示包含於根據本發明之一實施 例方法的製程步驟; 第4圖為一圖形,顯示每一個晶片的片電阻之改變, 含蓋了在第一和第二批次中,每一個半導體晶片表面都有 一薄膜形成之情形,每一批次有25個晶片; 第5圖為一圖形顯示氧擴散至形成薄膜中的程度,含 蓋了矽與底層上表面接連以及沒有接連之情形; 第6圖為一圖形顯示熱處理步驟對於緩和先前形成薄 膜中殘餘應力所產生的效應; 第7圖為一圖形顯示鹵素元素的殘餘量,含蓋了,主$ 後處理做了以及沒有做的情形; 第8圖為一圖形顯示清潔後處理對於在接下來I#胃 膜形成的製程腔體之内壁上的預塗層,所產生的作用. 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注項罗填疼本頁) -裝. 訂 10 . 419790 經濟部中央標準局員工消費合作社印製 Λ7 B7 五、發明説明(7 ) 第9A和9B圖皆為針對在一般MOSFET形成閘極。 本發明之詳細說明 在此依據本發明之一實施例並參考相關圖樣來描述— 用以形成層壓膜的方法及裝置。 第1圖為一橫截面圖顯示用來操作本發明的薄臈形成 方法所用薄膜形成裝置的構造。另—方面,第2圖為部份 平面圖顯示第1圖中所示薄膜形成裝置16的喷灑頭部份内 所安置的均勻分散板。此薄膜形成裝置是屬於一個接一個 製程的形式並且配有一加熱燈可迅速將半導體晶片加熱。 此薄膜形成裝置包含有一個由上壁、一圓形側壁以及 及一底壁《製程腔趙20界定於製程容器18之内。同時製程 容器18最好是圓柱體形並且由像是鋁之類的金屬製成,__ 個上下端開口的支撐圓柱體22製於製程容器18之内而與之 同軸。另一方面’許多數目的支撐物24等距的以環繞的方 向架於支撐圓柱體22的上端。在一較佳實施例中,三個支 樓物24被排列成彼此相隔120。。每個支撐物24是呈L形狀 而包含一水平臂部位與一垂直臂部位。水平臂部位的末端 接連部位是裝於支撐圓柱體22的上端,而遠端部位以水平 方向往支撐圓柱體22的中心延伸。此外,向上延伸的垂直 臂部位整個固定於水平臂部位的内端。這些垂直臂部位的 上端與碟狀晶座26低表面的外圍部位相連。因而支樓物可 支撐住晶座26而使桌檯26與支撐圓柱體22同 心 〇 如半導體 晶片W之類的基材置於晶座26之上。需注意的是晶座26的 直徑相當於或比基材W的直徑為大·支撐圓柱體22和支撐 本紙法尺度適用中國國家標準(CNS ) A4規格(210x297公楚^ L----------^------,訂------^ {請先聞讀背面之注意事項#%寫本頁) 11 A7 419790 五、發明説明(8 ) 物24是由譬如石英之類的熱射線傳遞物質所形成。再者, 晶座26是由厚度大約從丨毫米至5毫米間的物質所做成,並 且有高的熱阻絕性和良好的熱傳導性。例如桌檯26是由以 碳為主的物質或是鋁化合物像是氮化鋁所做成。 經濟部中央標準局員工消費合作社印製 許多數目的L形升降桿28設置於基材晶座26之下。例 如有二個升降桿28設置於桌檯26之下。這些升降桿彼此用 —個共同的環(未顯示)連結在一起,因而可在垂直方向一 齊運動。每一個升降桿包含有一個垂直臂部位和一個水平 臂部位。垂直臂部位置於晶座%的下方並向垂直的方向延 伸。另一方面,水平臂部位經由支撐柱22向外沿著水平方 向延伸。如前面所指出,這些升降桿28可在垂直方向移動 。較明確而言,在垂直方向延伸的凹槽形成於支撐柱22之 内。當然這升降桿28的水平臂部位經過形成於支撐柱22内 之凹槽而延伸。一趨動桿32的上端和這些升降桿28之一的 水平臂外端連接在一起。此趨動桿32經由一穿越製程容器 底壁而形成的洞口向下延伸,而讓趨動桿32之下端與置於 製程容器18底下的趨動器38連接在一起》自然此趨動桿32 可被趨動器沿著垂直方向移動。如果趨動桿32向上移動’ 這三個升降桿28也向上移動而使得這些升降桿28垂直f部 位的上面部位向上伸出而穿越延伸經過晶座的升降桿洞口 34。因而使得置於晶座26上的晶片W向上移動而離開桌檯 26。相反地,如果趨動桿32向下移動,支撐於升降桿28上 端的晶片W也向下移動而被再度放置於晶座26之上β 一個 可收縮的軟管36被裝置來包圍趨動桿32中介於製程容器18 本紙張尺度適用中國國家標準(CNS ) Α4規格(2!〇χ297公董) 12 經濟部中央標準局員工消费合作社印製 419790 A7 ------B7 五、發明説明(9 ) 底部平板的低表面與趨動器38上表面之間的部位,因而鎔 接密封住製程容器18。 由陶瓷材料做成的且用來固定晶片W工具的夾環40設 置於晶座26外環區域的上方。較明確來說,晶片w的邊緣 部位由夾環40從上對著晶座26的上表面壓下而讓晶片W固 疋°從圖上明顯可見’夹環4〇與晶座26同心,且固定於穿 過支撐物24平行臂部位而沿著垂直方向鬆弛地延伸的三個 環形臂42之上端。最好環形臂42是由如石英類的熱射線傳 遞物質形成β環形臂42的下端與升降桿28連接在一起。因 此夾環40經由夾環臂42而與升降桿28—齊在垂直的方向運 動。一壓縮線圈彈簧44置於支撐物24平行臂部位的低表面 和升降桿28平行臂部位的上表面之間,因而夾環4〇被往下 壓而讓晶片被央住而不會壞掉。最好夾環臂42的下端部位 被插入這些線圈彈簧44之間,如圖中所示。同時最好這些 升降桿28和支撐物24是由如石英之類的熱射線傳遞物質所 形成 —與晶座同心的圓形開口在製程容器底壁的中間部位 形成。此圓形開口置於晶座26的正下方,並且由像是石英 之類的熱射線傳遞物質所做成的傳遞窗口鎔接密封起來 此外,一個方盒形狀的加熱室48裝於製程容器18底壁的下 表面而將傳遞窗口 46包圍起來。一個加熱工具,例如許多 數目的加熱燈50(鹵素燈)裝於加熱室48之内,而此加熱工 具是裝於一同時作為反射鏡用的可旋轉板52之上表面。此 可旋轉板52與置於加熱室底部的馬達54之旋轉軸承連接。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) 13 1=--^------裝------,玎------^ (請先閲讀背面之注意事項填寫本頁) 419790 Λ7 B7
五、發明説明(1G 因而此可旋轉板52經由馬達54沿著第1圖箭頭所示的方向 而旋轉。最好可旋轉板52是與晶座26同轴而被旋轉β由之 些加熱燈50發射出來的熱射線經由傳遞窗口 46傳遞而照射 並加熱晶座26的低表面。因而置於晶座上的晶片w可由熱 傳導迅速加熱至預先決定的溫度。 —冷卻空氣入口 56和一冷卻空氣出口 58形成於加熱室 48互相面對的邊壁上。冷卻空氣經由冷卻空氣入口 56導入 至加熱室48内,而冷卻加熱室48之内部空間與傳遞窗口46 °然後在加熱腔體内被加溫的空氣經由冷卻空氣出口而排 放至外部。 , 一個在其上面有許多數目流量控制孔60的環狀流量控 制板62被設置來包圍晶座26的外環表面。此環狀流量控制 板62設置成水平並與晶座同心,並且位於接近製程容器18 之環繞内表面。同時,流量控制板62保持於一圓柱形支撐 趙64之上外環表面和製程容器is之内環邊壁表面之間。需 注意的是此支撐體64的上内環表面被做成階梯狀而形成向 内延伸的階梯部位《此階梯部位形成於支撐體的骜個環繞 區域。一由石英做成之環狀連接物66的外環部位架於支撐 體的階梯部位上。此與支撐體64同心的連接物66用來將製 程容器18的内部空間分隔為上腔體與下腔體,而盡量抑止 製程氣體流至晶座26下方的下腔體》可以將一個冷卻水箱 (未顯示)裝在上腔體中位於支撐體64上方的位置。冷卻水 在水箱内循環而主要來冷卻流量控制板62自由空間的一邊 。許多數目的廢氣口 68經過位於流量控制板底下的製程容 --- -- -張適财國國家樣準(CNs)如規格(⑽X撕公着 419790 A7 經濟部中央標李局員工消費合作社印製 __B7 _五、發明説明(丨1) 器18底壁的一些部位而形成,這些廢氣口以環繞的方向等 距排列。與真空幫浦(未顯示)相連的廢氣通路70與每個廢 氣口 68相連。因而在製程容器18内的大氣可被排出而將容 室18的内壓保持於1〇〇托至1〇_6托的真空狀態。 可於壓差約為0.1 kg/cm2下操作的壓力釋放閥門(未顯 示)可裝於支撐體64。此壓力釋放閥門當低於晶座26的氣 體腔體之内壓過度增加時會打開,而將部份氣體經由在支 撐體和製程容器内環表面之間的自由空間,從廢氣口釋放 出去。因此氣體腔體的内壓可防止因惰性氣逋回流至製程 容器内而過度增加。 一喷灑頭部份72提供於製程容器18面對晶座26的頂部 區域。像是薄膜形成氣體(製程氣體)及清潔氣體之類的 氣體經由喷灑頭部份72導入至製程腔體》較明確來說,此 噴灑頭部份72包含有由鋁製成的頭體74並做成圓柱體盒子 形’且在頭體74頂部的中間部位提供有一氣體入口 76。 一氣體排出壁78組成了頭體74的底壁。許多數目的用 來釋放供應至頭體74的氣鱧之氣體排出孔8〇被均句的設置 在氣體排出壁78的整個區域,而使得氣體均勻的釋放至位 於桌檯26的晶片整個表面上。此氣趙排出壁78具有直徑316 毫米。另一方面’氣體排出孔80具直徑約一毫米。這些氣 體排出孔以密度約10孔/ cm2形成於氣體排出壁上,直徑 230毫来的中間部位。這些氣體排出壁和氣體排出孔的半 徑以及氣體排出孔80密度的數值和一般噴灑頭部份的數值 相當接近。 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項/填寫本頁) •装- 訂 線 15 經濟部中央橾準局員工消費合作社印策 ,419790 1 A7 __—______ B7五、發明説明(12 ) 兩個均勻分散板,其分别為上分散板82和下分散板86 ’彼此之間在賴頭體74内相隔-預先決定的距離而互相 重整著’因而在喷灑頭體74内形成許多數目的擴散腔想。 适些分散板的存在讓本發明的裝置產生顯著的效果〃上分 散板有非常/數目的噴氣孔,例如僅有一氣趙穿越孔或許 多氣趙穿越孔。在第1圖所示的實施例中,上分散板_ 有-直徑社5毫米的氣體穿越孔。在上分散板上形成許 多數目的氣體穿越孔時,是可以讓所有的孔都具有相同直 徑的。例如可以在中心形成—相對上大的單一氣趙穿越孔 84,並設置6個相對上小的氣體穿越孔84圍繞中間的氣體 穿越孔。 很多數目之非常小的分散孔88均勻地形成於低均勻分 散板86«分散孔88的直徑及其分佈密度決定於在兩種情況 下均能讓氣流均勻地供應至製程腔體2〇的整個區域,此二 情況分別為從喷灑頭部份72所供應的薄臈形成氣體是用來 實行反應速率限制反應,以所供應的薄膜形成氣體是用來 實行氣體供應迷率限制反應。較明確一點來說,當分散孔 88有過於大的直徑時,當然可以藉由適當改變分散孔88的 分散密度而實行反應速率限制反應β然而卻無法如願的控 制反應物氣趙供應速率限制反應。相反地,當分散孔88的 直徑過於小時,當然可以藉由增加分散孔88的分佈密度而 實行反應物氣體供應速率限制反應,然而卻無法如願的控 制反應速率限制反應。換句話說’為了要讓此裝置能势令 人滿意地都實行反應物氣體供應速率限制反應以及反應速 本紙張尺度適用中國國家標丰(CNS〉A4規格(210X297公釐)
In _ I— I I ---------參I (請先閲讀背面之注意事項/填寫本頁) h 1T. 線— 經濟部中央標準局員工消費合作社印製 f ' 419790 A7 ___ ____B7 五、發明説明(13 ) ~ 率限制反應,必須選擇適當的分散孔88的直徑以及這些分 散孔88的分佈密度。 在圖中所示的實施例中,分散孔88的直徑(j|内直徑) 必須是最多约0.7毫米。另一方面,這些分散孔88必須形 成於分佈密度至少是0.3孔/cm2。分散孔88直徑的下限在 本發明中無特別限制,只要它能讓氣體順利的流過孔88。 然而此分散孔直徑的下限是由所用的打孔器具的性能而決 疋°例如下均勻分散板的厚度約10毫米,分散孔88直徑的 下限應該是約0.2毫求。 另一方面’分散孔88分佈密度的上限在本發明中並無 特別限制’只要相鄰的孔不要互相連接在一起。最好是這 分散孔的直徑是在〇· 1毫米至0·7毫米範圍之間。同時分散 孔的分佈密度應在0.3孔/cm2至ι_〇孔/cm2之間。例如當 均勻分散板86具有直徑約3〇cm,最好能均勻地形成約190 個各別直徑為0_65毫米的分散孔《第2圖為顯示此構造之 均勻分散板86 —部份之平面圖。此構造之均勻分散板在下 列情形中可均勻地供應氣體於反應腔體的整個區域,此情 形分別為薄膜形成氣體是供應來實行反應速率限制反應以 及薄膜形成氣體是供應來實行反應物氣體供應速率限制反 應。 一個單一氣體通路90在一端連接於喷灑頭部份72的氣 體入口 76,並在另端部位經由各分枝通路92與各薄膜形成 氣體源與清潔氣體源連接。如第1圖所示,氣體通路90與 Ar氣源94連接來儲存Ar氣體作為載氣,與SiH4#96相連來 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) 17 !.-----------------1T------^ (請先閲讀背面之注意事項¾寫本頁) 經濟部中央橾隼局員工消費合作社印製 '41979〇 A7 ----- ___B7 五、發明説明(η ) 儲存S1H4氣體作為薄膜形成氣體,與§出2(:12源98相連來 儲存SiH/〗2源98相連來儲存siH2Cl2作為薄膜形成氣體, 與WFe源100相連,與PH;源1〇2相連來儲存ph3氣體作為摻 雜氣體,以及與CIF3源104連接來儲存C1I?3氣體作為清潔 氣體》—個像是流量控制器之類的流速控制閥門1〇6和一 個一般的閥門108和各個分枝通路92相串連。在圖中所示 的實施例中,此一般的閥門1〇8是放在氣源和流速控制閥 門106之間,然而是可對調閥門1〇6和1〇8的位置的。 此外,一個用來將晶片W送進出製程腔體2〇的開口形 成於製程容器18的一個側壁。這個特別開口經由一個閘閥 G與一載鎖腔體1.10相連。此載鎖腔體u〇的内部空間保持 於真空狀態,並且待製程的晶片暫時儲存於载鎖腔體。 前面所述構造之裝置是用來執行本發明中用來形成層 壓_薄膜或多重層構造的一個歹法。以特定之例而言,第3 圖為顯示用於本發明方法之製程步驟的流程圖β如前所述 ,本發明之特點為在單一製程腔體中形成許多數目的不同 薄膜,此製程氣體和載氣被導入製程腔體作前處理用,在 實施過程中不將晶片W置於桌搂26之上,因而預塗佈與在 晶片W上形成的薄膜具相同組成的薄膜於製程腔體的内表 面上。本發明同時具有之特點為在薄膜形成後,在有晶片 的情形下以矽烷系列的氣體實行表面處理。此實施例含蓋 了如第9Α圖所示’摻雜有磷的多晶矽層和矽化鎢層〗2接 連形成之情形。 在第一步驟中’在晶片W置於製程腔體20前先實施一 本紙張尺度適用中囷國家標隼(CNS ) A4規格(2丨〇χ297公釐) 11----------裝------訂------線 (請先閱讀背面之注意事項wp球寫本頁) 18 經濟部中央標隼局員工消費合作社印製 ‘419790 ab; 五、發明説明(15 ) 前處理(步驟S1)。在此前處理中,於接下來的步驟將在後 處理申被導入製程腔體來在晶片上形成所需薄膜之氣體, 被導入製程腔體中而在製程容器18内壁表面及内部結構上 形成預塗佈層壓膜。如前所述,這些預塗層膜是為了在製 程容器内造成此狀態使得熱反射係數及發散係數與形成所 需薄膜之步驟中的值相等,因而改善在晶片上薄膜形成的 再製性。 在此前處理步驟,製程腔體先柚氣至一預定設定的真 空程度。同時製程容室的内溫升高至5001至800°C之間。 在此情況下’與用來形摻雜磷的多晶矽膜所需而預先決定 量的氣體被導入至製程容器中,在製程容器18的内部結構 (例如夾環40和流量控制板)的表面和製程腔體2〇的内表面 上形成摻雜磷的預塗層膜。在此實施例中,預先決定量的 Ar氣體(載氣),SiH4氣體(反應物氣體),以及ph3氣體(摻 雜氣體)被導入製程腔體18中來形成前所提及的預塗層多 晶矽膜。附帶一提的,用來作摻雜氣體的PH3對於熱反射 係數沒什麼影響,因此在前處理步驟中可以省掉PH3的供 應。 在形成預塗層多晶矽膜後,殘*省在製程容器18中的氣 體由真空吸氣而由製程容器中排出。接著,與用來在晶片 W上形成矽化鎢(WSix)膜所需相同之預先決定量的氣體被 導入製程容器而在摻雜磷的預塗層多晶矽膜上形成矽化鎢 膜。在此實施例中,預先決定量的Ar氣、1%^6氣、和SiH2Cl2 氣被導入製程腔體18中來形成前所提及的矽化_膜於先前 本紙張尺度適用中國國家標準(CNS)A4規格(2丨0X297公釐) !.----------裝------訂------線 (請先閲讀背面之注意事項异以寫本頁} 經濟部中央標準局員工消費合作社印製 419Τ90 λ7 _____ B? 五、發明説明(丨6 ) 形成的預塗層多晶矽膜上^最好有摻雜的多晶矽膜和矽化 鶴膜的預塗層量較實際在晶片W上形成有摻雜的多晶矽膜 和矽化鎢膜之量為多,而改善在其他晶片上形成這些薄膜 的再製性。 在此實施例中,一個矽烷系列的氣體在後處理步驟中 被導入製程容器18内,而讓矽沈積在形成於晶材上的矽化 鶴膜上。與此聯合使用者,作為矽烷系列氣體用的預先決 定量之矽烷氣體在前處理步驟中,與當做載氣的“氣體 被導入製程腔體内’而讓矽少量的沈積於預塗層矽化鎢之 表面上〇附帶一提的是,8出2(:12氣鱧亦可作為矽烷系列 的氣體。 在沒晶片的情形下實施前處理後,晶片被裝入製程腔 體20中(步驟S2)。較明確一點’一個未處理過放在載鎖腔 體Il〇t的晶片W經由閘閥G傳送至製程腔體20中。在此 同時,升降桿28被往上推而讓晶片W向升降桿28的方向送 過去*接著晶片被置於晶座26上,再繼續將上推桿32往下 移動》因而使得夾環40壓住晶片W的邊緣部位。最後晶片 W固定在晶座26上。附帶一提的是’前面提到的用詞‘‘未 處理過的半導體晶片”代表一個晶片,如第9圖所示,具 有先前在其他製程爐形成的閘極氧化物膜6。 當裝置晶片於製程腔體以此方式進行後.實行真正形 成多晶矽膜於閘極氧化膜6的步驟(步驟S3) ^在第一步驟 ’形成的是摻雜磷的多晶矽膜。在此步驟中,設置在加熱 室48内的加熱燈被旋轉,而當製程腔體20被真空抽氣排除 本《紙張尺度適用中囤國家標準(CNS) Α4規格(210Χ297公釐) —--^------裝-- (請先閱^:面之注意事項异4寫本頁} 訂 20 419790 經濟部中央標準局負工消費合作社印裝 Λ7 B7 五、發明説明(Π) 空氣時讓熱量均句發散。此發散之熱射線經由傳遞窗口46 ’以及其他裝置中的物件,像是由石英做成的支撐物30傳 遞,而照射晶片26的背表面。如前所述,晶座26是非常薄 ’大約1至5毫米之間,因而桌楼2 6會被迅速加熱。自然地 ’置於晶座26上的晶片W也被迅速加熱至預先決定的溫度 〇 如果晶片W被加熱至像是70(TC的製程溫度,SiH4(矽 烧)氣體和PH3(填烧)經由噴激頭部份72與載氣Ar—起被導 t 入製程腔體20之中。這些矽烷和磷烷分別以約15〇 seem 和 400 seem的速率被供應。 一個由矽烷和磷烷間所產生之預定反應發生於製程腔 體20内’因而形成一摻雜有作為一種導電性雜質用的磷之 多晶矽層,於形成在晶片W上的閘極氧化物層6之上,如 第9A圖中所示。附帶一提的是’亦可用其他的摻雜物像 是As(砷)、Sb(銻)和B(硼)。為了得到摻雜的多晶石夕層具有 預先決定的厚度,前面所述的薄膜形成操作大約施行一分 鐘。同時此步驟中的製程壓力約為10 Torr。 在形成摻雜的多晶石夕層10後*如下所述.而梦化鶴膜形· 成於多晶矽層10之上。於第一步’停止PH3和SiH4的供應 。在此情況,讓Ar氣體流經製程腔體2〇而清除磷烷氣體 於製程腔體20中。在此同時,控制供應給加熱燈5〇的電力 而稍微降低晶片W的溫度至;6夕化鎢的製程溫度約6〇〇。(;。 實行用Ar氣艘清洗數分鐘。在此情形,可將梦化鶴的製 程溫度定為與多晶碎的製程溫度相同。 (請先閱讀背面之注意事項寫本頁) -裝. 訂 線 本紙張尺度適用中國國家標準(CNS ) A4規格(210x297公釐) 21 A7 (19*790 ______ B7_ 五、發明説明(!8) 當達到製程溫度時,反應物氣體Sit^Cl2及WF6與載氣 Ar被供應至製程腔體20中,而在多晶矽膜上形成石夕化鎢 膜。這些SiHfl2氣體和WF6氣體的流速應分別大約為2〇〇 seem和10 seem。可以用SiH4等來代替SiH2Cl2氣體。同時 %或He氣體可用來代替氬氣作為載氣。 一個預先決定的反應物間的化學反應發生於製程腔體 20之内,而形成如第9A圖中所示的石夕化鎮層12。為了要 得到具有預先決定之厚度的矽化鎢層,薄膜形成反應進行 約2分鐘。此步驟中之製程壓力約為1 Torr。 需注意的是用來形成多晶矽層或摻雜磷之膜1〇的薄膜 形成反應為反應速率限制反應 <'另一方面》用來形成石夕化 鎢層或是膜12的薄膜形成反應為反應物供應速率限制反應 。在本發明之裝置中,設置於喷灑頭部份72中,在均勻分 散板86而形成之分散孔88的直徑,以及這些分散孔μ的分 佈密度,都被適當的設定。而得以在反應速率限制反應及 反應物供應速率限制反應中,均能均勻地供應反應氣體和 載氣於製程腔體20的整個區域中。使得這些多晶破層1〇和 矽化鎢層12均能在整個區域中形成均勻的厚度。 在形成矽化鎢層12後,當晶片W在晶座26時施行一後 處理(步驟S4)。在第一步中’用真空抽氣排除製程容器18 中之内氣壓β在此狀況下,將矽烷系列的氣體,例如梦炫 ,供應至製程腔體20内一短暫的時間約60秒,而實質保持 形成矽化鎢層12步驟時之溫度約600至700°C,而務微沈積 石夕於梦化鶴層之表面。梦沈積的量控制在妙化鶴表面形成 本紙張尺度適用中國國家竦準(CNS ) A4規格(210X297公釐) ------.------裝------訂------線 (請先閲讀背面之注意事項再枝寫本頁) 經濟部中央榡準局員工消費合作杜印製 22 經濟部中央楼準局貝工消費合作社印聚 A7 __;_._B7 五、發明説明(I9 ) 一薄膜,或是讓矽碎片稍微與矽化鎢層連接而不形成連續 的石夕膜。所沈積的石夕可緩和石夕化鶴層内的應力而改善多晶 矽層10與矽化鎢層12間的鍵結強度,如之後所描述β同時 所沈積的矽可防止矽化鎢層12於之後的熱處理步驟中被氧 所侵襲。 在完成前面所述的後處理後,加熱燈50被關掉而降低 晶片的溫度至大約300°C,而適合於用氬氣清除殘餘在製 程容器18内的氣體時晶片的傳送。接著閘閥被打開而將處 理過的晶片W由製程容器18中取出(步驟S5),接著如前面 所述’放進一未處理過的晶片W於製程腔趙中(步帮S6之 “NO”)。於此情形,連續實施如前所述的薄膜形成步驟 S3和後處理步驟S4於新的未處理過之晶片。此連續製程 步驟使用於一批次包含有25個晶片。 在取出一預先決定數目的晶片,例如25片後,(步驟S6 之“YES”)’製程容器經由一清潔氣體處理,而去除殘 餘在製程腔體内壁和製程容器18内結構中少量的薄膜(步 驟S7)。較明確一點說’作為清潔氣體用的(^匕氣體被導 入製程容器中。在此情形下•,製程腔體‘加熱至約20(rc 而實施清潔處理數分鐘。因此,不想要的連在製程腔體内 壁的薄膜可被去除,而可抑止前所提及的連接薄膜脫落而 導致的粒子生成問題。清潔處理是考慮連在製程腔想内壁 等之薄膜量而實施。例如,在將前面所述的處理系列用於 單一晶片時,每一次都使用清潔處理。簡短的說,可以考 慮產能以及粒子產生量而決定使用處理系列的次數。需一 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -----------裝------訂------線 (請先聞讀背面之注意事項爯填寫本I) 23 經濟部中央標丰局員工消費合作社印製 Α7 Β7____五、發明説明(20 ) 提的是含有氟的氣體可有效去除多晶矽及矽化鎢。因此希 望能用C〗F3作為清潔氣體,然而用於本發明之清潔氣體不 需僅限於C1F3氣體。 在完成清潔步驟後,實行一個後處理(步驟S8) 〇在步 驟t,一個矽烷系列的氣體供應至製程腔體中,而幫助用 於清潔步驟中齒素氣體的排放,並因而改善在接下來前處 理步驟中所形成之預塗層膜的黏著性。 較明確一點說’在清潔氣體排放後,製程腔體内的溫 度被提升至約600°C。在此情形下,實行一熱處理步驟約1 分鐘並讓SiH4氣體以一預先決定的流速流進製程腔體。使 得連接在製程腔體内壁以及内結構表面的齒素氣被碎统還 原。因而幫助鹵素氣體的排放。可以用SiH2Cl;;氣體來代 替矽烷(SiH4)氣體。 在本發明之方法中,一個製程循環包含了前面所述從 S1至S8的步驟。 如前所述’本發明之方法的特點為矽化鎢是在摻雜填 的多晶石夕層10形成後而立刻形成於同一製程腔體内。換句 邊說,在形成多晶碎層後’晶片W不需要被拿出製程腔趙 外β自然而然,那是相當不可能有自生氧化膜形成於多晶 矽層10的表面上。因此閘極的電阻度可被降低。同時電性 可以明顯的改善。 同時需一提的是,在本發明中,形成於製程腔體内壁 和内結構表面的預塗層層壓膜較多晶矽層10和矽化鎢層12 形成於晶片W之上為先《报重要而需注意到這些預塗層膜 ΙΛ---------扣衣------、1Τ------0 {請先聞讀背面之注意事項異4寫本頁) 本紙張尺度逍用中國國家標準(CNS ) Α4現格(210Χ297公瘦) 24 經濟部中央標準局貝工消費合作社印製 I 419790 A7 ___B7五、發明説明(21) 是用與形成在晶片上的層10和12相同的材料。同時,用於 後處理中氣體的薄膜包含在預塗層膜之中。因此製程腔體 保持熱穩定狀態,而保持層10與12形成於晶片W上的高度 再製性》 此外,在形此層10和12之後實行一後處理步驟,因而 讓碎的連續或不連績薄膜沈積於石夕化鎢層12上。因而使得 矽化鎢層12内的應力變缓和。同時層12可被防止在之後的 熱處理步驟中被氧侵襲。 在前處理步驟中形成之預塗層膜產生顯著的效果。較 明確的說,第4圖為一圖形顯示包含於每個晶片中閑極的 片電阻之改變’含蓋了在第一和第二批次中每一半導趙晶 片表面所形成的薄膜之情形,每一批次包含有25個晶片^ 在此實驗中’預塗層膜在前處理令立刻形成於第一和第二 批次之前。如第4圖所示,片電阻的最大值和最小值相差 約為5 Ω /□。換句話說,在這些晶片中片電阻的偏差約 為3%,具有很好的再製性》 如前所述’在形成多晶矽層10和矽化鎢層〖2的步驟後 ,立刻實施熱處理步驟而沈積連續的或非連績的矽薄膜於 層12的表面上》此梦沈積如第5圖所示產生了顯著的效果 *重覆一遍’第5圖為一圖形顯示了氧擴散至形成的薄膜 中之程度,含蓋了石夕連接於以及未連接於梦化鎮層12上表 面的情形*在第5圖之圖形中,由閘極上面至晶片的深度 示於橫軸,而氧的量間接示於縱軸*在此實驗中,氧的侵 襲是將晶片加熱至9(TC而實施。 -------------裝------訂------線 (請先閱讀背面之注意事項寫本頁) 本紙張尺度適用中國國家標準(CNS > Α4規格(210x297公釐) 25 A7 B?· 419790 五、發明説明(22 ) 由第5圖的圖形可明顯看出,由虚線所表示的在後處 理中,矽沈積於矽化鎢層12表面的步驟與没有沈積矽由實 線所表示之情況相較,可減少矽化鎢(WSix)層中氧的量》 換句話說,氧擴散的情形被矽沈積所抑制。 第6圖為一圊形顯示後處理對於缓和殘留在矽化鎢層 中的應力產生的另一效果β在第6圖的圖形申,石夕烧和二 氣矽烷(SiH2Cl2)供應至製程腔體内的時間示於橫轴,而應 力示於縱軸。在此後處理步驟中,矽烷流速設定為SiH4/Ar =500/400 seem,二氣矽烷的流速設定為SiH2Cl2/Ar = 150/350 seem’而製程壓力設定為0.7 Torr» 由第6圖的圊形可明顯看出,在沒有實施後處理的情 形下(後處理時間0秒),膜内的應力可高達1.30 X 1010 dyn/cm2。然而經過矽烷氣體和二氣矽烷氣艎做後處理後 ’應力降低很多。因此可知多晶矽層1〇和矽化鎢層12間的 鍵結強度可由後處理加強《需一提的是不管是用矽烷氣體 或二氣矽烷氣體於熱處理中,均可得到滿意的效果。 如前所述’在清潔步驟後,以矽烷系列氣體實施另一 後處理步驟而去除連接在製程腔體内壁和製程容室内結構 表面的鹵素。第7圖為一圖形顯示以矽烷系列氣體做第二 熱處理’對於矽化鎢(WSix)膜表面由素元素(氣)殘餘量所 產生的效應。在此實驗中,矽烷作為矽烷系列的氣體。第 7圖中的曲線B顯示了在清潔步驟後實施了另一熱處理的 情形’而曲線A表示沒有用矽烷系列氣體實施此特別後處 理的情形》 巧張尺"Si?國國家標準(CNS) A4_ —公楚) (請先聞讀背面之注意事項#-"'寫本頁} 裝- 經濟部中央標準局員工消費合作社印製 經濟部中夬標準局貝工消費合作社印裝 419790 at Β7 五 '發明説明(23 ) 由第7圖中明顯可見,以矽烷氣體做後處理可以有效 的減少殘餘鹵素量,而降低在矽化鎢層上的鹵素所引起的 不良效應。 以矽烷系列氣體實施的特別後處理產生一額外的效果 。較明確的說,它可以幫助在前處理中,於製程腔體20的 内壁和製程容器18内結構的表面上形成預塗層膜,如第8 圖所示。在第8圖的圖形中,預塗層膜達到一預先決定厚 度所需的時間示於縱軸β第8圖形中的長條P和Q含蓋了沒 有使用矽烷氣體做後處理的各情形,而分別相對於反應物 氣體供應遠率限制反應及反應速率限制反應。另一方面長 條R含蓋了使用矽烷氣體做後處理的情形。由第8圖可明 顯看出,用矽烷氣體做後處理,對於在反應物氣體供應速 率限制反應和反應速率限制反應中,皆可有效的縮短形成 一預先設定厚度的預塗層膜所需的時間,而使得縮短整個 的製程時間》需一提的是,在預處理中用矽烷氣體清潔, 可以縮短薄膜未形成的孕育期,而縮短預塗層的時間》 如前所述,包括於本發明裝置尹的喷灑頭部份,是一 個兩層的結構。此特別的構造對於連續形成薄膜有效,如 表1中所示。在此實驗中*在上分散板82和均勻分散板86 中的分散孔直徑和數目以不同的方式改變而希望能觀察形 成矽化鎢膜的不同之處。 本紙張尺度適财關家標準(CNS ) Α4規格(2Η)Χ297公楚) 27 ------------裝—-----訂------線 (請先閲讀背面之注意事項再场寫本頁) 419790 A7 B7 五、發明説明(24 經濟部中央標準局員工消費合作社印製 矽化鎢膜之形成 均勻分散板 1 上分散板 單獨形成於 邊緣部位 4 ππηφΧ 188” (0_3 孔/cm2) 13 ππηφΧ 8孔 比較範例1 在中間部 位非常薄 4 ππηφΧ 188” (0.3 孔/cm2) 丨3 ππηφΧ 8孑L |比較範例2 〇〇 4ιηιηφΧ188” (0.3 孔/cm2) 丨 1.5 ηιιηφΧ I孑L 比較範例3 J κ> 0.65 ιηιηφΧ 188” (0.3 孔/cm2) 1 1.5 ππηφΧ 1 孔 p—i to 0.5 ππηφΧ 722” 1.5 mm+X 1 孑L t3 >1 —.—--------裝------訂--^----線 (請先閲讀背面之注意事項再4寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(2IOX297公釐) 經濟部中央榡準局貝工消費合作社印掣 419790 A7 —___B7 五、發明説明(25) 用於本實驗之氣體射出壁的直徑約316毫米,與一般 氣體射出壁有相似的氣體射出孔80半徑和孔8〇的分佈密度 。例如,孔80的直徑為1毫米,且4397個孔設置於直徑23〇 毫米的圓形區域内,相當於約10孔/〇1112。同時分散板的 直徑設為約260毫米β需一提的是,為了均勻的供應氣體 至整個製程腔體20内,設置於上分散板82底下的均勻分散 板86的構造疋非常重要的。因此在本實驗中均勾分散板μ 的構造改變成各種形式。 如表1所示,在比較範例中上形成於上.分散板中的孔 ,其直徑非常的大’分別是13毫米和3毫米。此外,在比 較範例1和2中,很多數目的孔(8孔)形成於上分散板中。 在各情形中,由反應物供應速率限制反應所形成的矽化鎢 層,單獨形成於晶片的邊緣部位。 比較範例3設置成與比較範例1和2在均·句分散板86上 有相同數目的孔及其直徑。然而在比較範例3中,在上分 散板82上形成的孔較小,約1.5毫米。除此之外,僅有一 個在上分散板82上。在比較範例3中,當然矽化鎢層形成 於晶片包含中間部位的整個範圍。然而在中間部位的€夕化 鎮層發現比邊緣部位薄很多〇另一方面,與比較範例3中 所用相同的上分散板82亦用於本發明的例1和例2中"然而 在例1中,形成於均勻分散板86上的直徑設為0.65毫米》 同時有188個孔形成於均勻分散板86(約0.3孔/cm2)。此 外,在例2中,形成於分散板86上的孔88之直徑設為0·5毫 米。同時有722個孔形成於均勻分散板86上(約1,4孔/cm2) 本紙張尺度適用中國國家標準(CNS) A4规格(210 X 297公釐) 29 i----------裝------訂--Ί1----線 (請先閣讀背面之注意事項^¾寫本頁) 4V9T90 經濟部中央梯準局貝工消費合作杜印製 -A 7 ____B7 - _ 五、發明説明(26 ) ' ~- »如表1所在例i和例2令,在晶片整個範圍上的石夕化 鎢層的均勻度僅約2%,支持了那是非常重要去適當決定 分散孔88的直徑以及在均勻分散板上的數目,就像^發日^ 中所定義的那樣。 在前述的實施例t,半導想晶片被當做待製程的物件 。然而亦可用本發明之技術概念來製程其他的物件,像是 玻璃基材和LCD基材。 同時在前述的實施例中.,在前處理中供應至製程腔體 用來形成第一預塗層膜為摻雜磷的多晶矽膜所用之第一氣 體组成,與供應至製程腔體用來在晶片上形成第—層為摻 雜磷的多晶矽層10所用的第一氣體組成是相同的。然而並 不是絕對需要用相同組成的氣體來形成預塗層膜和在晶片 上形成多晶梦層10。例如,如在此之前所述,可以省掉使 用PH3氣艘來形成第一預塗層膜。在此情形,於前處理中 形成的預塗層膜(多晶梦膜)沒有摻雜鱗’而在接下來步驟 於晶片上形成的多晶矽層則有摻雜磷。因此嚴格說來,在 前處理中形成的預塗層臈和形成於晶片上的多晶矽層1〇並 不完全相同。 然而實際上合理的說,這些預塗層膜和多晶矽層主要 含有相同的組成。 另一方面,可讓在前處理中用來形成第二預塗層膜為 矽化鎢膜的第二氣體组成,不同於用來形成矽化鎢層12的 第二氣體組成。例如可以用SiH2Cl2氣體來形成顇塗層膜 ’而用SiH4氣體在晶片上形成矽化鎢層丨2。當然,這樣一 {請先閲讀背面之注意事項再填寫本頁) » - -I- .裝· 訂 線_ 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公董) 30 經濟部中央標準局貝工消費合作杜印装 419790 A7 ____ B7五、發明説明(27) 點的關於雜質的存在或不存在以其種類的不同是可接受的 ,只要第二預塗層膜和形成於晶片上的矽化鎢層12彼此有 相當接近的組成即可。 在前述的實施例中’閘極是一個兩層的結構,其包含 有一多晶矽層和一形成於多晶矽層上的矽化鎢層。然而此 閘極的構造非僅限於上面所提。當然此閘極可由三層或更 多層來代替兩層結構的閘極。 如前所述,本發明之薄膜形成方法和裝置產生顯著的 效果。再次重覆’本發明之方法其特點為在一製程腔體内 ’在晶片上接連形成許多數目的膜,各氣體組成預先供應 至製程腔體’而在製程容器内結構的表面和製程腔體的内 表面形成許多數目的預塗層膜’因而穩定製程容器内結構 表面和製程腔體内表面的熱反射和熱發散度等。結果使得 包含一多晶矽屠和一矽化鎢晶的層壓結構可在晶片上以高 再製性接連形成》 同時,在前面所提於晶片上形成層壓結構的薄膜形成 操作過程後,實行後處理而在其中一矽烷系列氣體流過製 程容器’使得殘餘在層壓結構上層的應力得以缓和,而改 善屠壓結構上下層之間的鍵結強度。除此之外,此特别的 後處理可有效的抑止氧在之後的熱處理步驟中擴散至層壓 結構的上層。 同時需一提的是在前所提之於晶月上形成層壓結構的 接連薄膜形成操作之後,製程腔體用鹵素^警(例如含有 說的氣體)清潔,接著讓石夕烧系列的氣體流經此製程容器 IL---^------^------ΐτ-------^ (請先閲讀背面之注意事項声執寫本頁) 本紙張尺度通财國國家標準(CNS.) Μ規格(2敝297公廣) 31 Λ7 B7 五、發明説明(28 ) 。因而殘餘在製程容器的鹵素氣體可被有效的從製程腔體 中釋放出。同時,前面所提的用矽烷系列氣體做處理可有 效的在為了形成薄膜於新晶片的後續操作而做的前處理中 ’幫助預塗層膜的形成》 此外,在本發明的薄膜形成裝置中,一個均勻分散板 設置於此裝置的喷灑頭部份中。需一提的是許多數目的具 有小半徑的分散孔以局分佈密度形成於均勻分散板,而使 得能均勻的供應氣體至製程腔體的整個區域,而在反應物 氣體供應速率限制反應和反應速率限制反應中形成薄膜。 因而許多不同種類的薄膜可在同一製程腔體内接連形成, 而形成的薄膜在晶片或類似之物的整個區域内都有均勻的 厚度。 熟悉此k藝很快可想到另外的優點及修改。因此本發 明從廣面來看,應不限於在此所顯示及描述的特定細節及 代表性實施例》因此,在不離開如附屬申請專利範圍及相 等情形中所定義的共通發明觀念下,可做各種修改。
Li-----------裝------訂 -------線 (請先閲讀背面之注意事項-?*k寫本頁) 鲤濟部中央榇準局員工消費合作杜印製 本紙張尺度適用中國國家榡準(CNS ) Α4規格(210Χ297公釐) 32 419790 A7 B7 五、發明説明(29) 經濟部中央標準局員工消費合作社印製 2.. .源極 4.. .汲極 6.. .二氧化矽層 8.. .閘極 1 〇....多晶梦層 12…矽化鎢層 14.. .自生氧化層 18…製程容器 20.. .製程腔體 22.. .支撐圚柱體 24.. .支撐物 26…晶座 28.. .升降桿 32.. .趨動桿 34.. .升降桿洞口 36.. .軟管 40.. .夾環 42.. .環形臂 44.. .線圈彈簧 46.. .傳遞窗口 48.. .加熱室 50.. .鹵素燈 元件標號對照 52.. .可旋轉板 5 4...馬達 56.. .冷卻氣體入口 58.. .冷卻空氣出口 60.. .流量控制孔 6 2...流量控制板 64.. .圓柱形支撐體 66.. .環狀連接物 68.. .廢氣口 70.. .廢氣通路 72.. .噴灑頭部位 74…頭體 76.. .氣體入口 78.. .氣體排出壁 80.. .氣體排出孔 82.. .上分散板 84.. .氣體穿越孔 86.. .均勻分散板 88.. .分散孔 90.. .氣體通道 92.. .分枝通道 94.. .氩氣源 n-ui I- t*--- I - I— - - —i I - J) T n n _ n I U3. ,νό 努 (請先閲讀背面之注意事項再.¾寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4规卷(210X297公釐) 33
五、發明説明(3G 98.. .51H2Cl2 源 100".WF, 102·:.ΡΗ3 源 104.. .C1F3 源 A7 B7 106…流速控制閥 108...— 般闊 W…晶片 ---------装------1T--„-----.^ (請先閲讀背面之注意事項"从寫本頁) 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 34

Claims (1)

  1. 經濟部中央榡準局員工消費合作社印製 419T90 AS B8 C8 ____D8 、 , . - — * — — 一- . - ._ κ、申寶辦範圍 ι囀來形成層壓層的方法,其包含有下列步驟: (Α)在前處理中形成預塗層膜,藉由供應第一系 列薄膜形成氣體至製程容器的製程腔體中並加熱該製 程腔體,而形成一預塗層膜’其主要包含了在該製程 谷器暴露於製程腔體的表面上之第一組成,接著供應 第二系列的薄膜形成氣體至製程腔體中,而形成第二 預塗層膜’主要包含了與在第一預層膜上的第一組成 不同的第二組成; (Β)將待加工的物件放入製程腔體中; (C) 供應第一系列氣體至製程腔體中並加熱製程腔 體’而形成第一薄層主要包含了於該物件表面上的該 第一組成,接著供應第二系列氣體至製程腔體中,而 形成第二薄膜層主要包含了在該第一薄層上的該第二 組成; (D) 供應矽烷系列氣體至製程腔體中,讓矽材料沈 積於疊在第一薄層上的第二薄層之表面上;且 (Ε)由製程容器中取出在其中形成第一和第、薄層 的物件》 2.如申請專利範圍第1項中用來形成層壓層的方法,其中 在前處理中供應至製程腔體的該第一系列薄膜形成氣 體,與供應至製程腔體在該物件表面形成該第一薄層 的該第一系列薄膜形成氣體是相同的’且在前處理令 供應至製程腔體的該第二系列薄膜形成氣體,與供應 至製程腔體在該第一薄層上形成第二薄層的該第二系 本紙張尺度適用中國國家標隼(CNS>A4規格(210X297公釐) 35 l·--------^------iT—'-----^ (請先閲讀背面之注意事項兵填寫本頁) 經濟部中央標準局貝工消費合作社印裝 419790 A8 B8 C8 ________D8 六、申請專利範圍 列薄膜形成氣體是相同的。 3. 如申請專利範圍第2項申形成層壓層的方法,其中主要 包含該第一組成的該第一薄層為_摻雜磷的多晶矽層 ,而主要包含該第二組成的該第二薄層為矽化鎢層β 4. 如申請專利範圍第1項中形成層壓層的方法,進一步包 含有下列額外的步驟: (F) 在取出具有形成的第一和第薄層的物件的該 步驟(Ε)後,實施該(Α)至(Ε)的步驟於至少一個額外的 物件; (G) 在實行步驟(Ε)於該額外物件後,供應一鹵素 系列清潔氣體於該製程腔體並加熱包含製程腔體在内 的製程容器因而使製程容器清潔;且 (Η)供應矽烷系列氣體至製程腔體並加熱包含製 Γ'.笋腔體在内的製程容器,而去除殘餘在製程容器内的 素元素。 5. — 游成層壓層的方法包含有下列步驟: /(a)在前處理中形成預塗層膜,藉由供應第一系 列薄膜形成氣體至製程容器的製程腔體中,並加熱該 製程腔體而形成第一預塗層膜,其主要包含在製程容 器暴露於製程腔體的表面上之第一組成,接著供應第 二系列的薄膜形成氣體至製程腔體,而形成第二預塗 層膜主要包含不同於該第一預塗層膜上的該第一組成 之第二組成; (b)將待製程物放入製程腔體; 本紙張尺度適用中國國家標準(CNS)A4規格(2丨0X297公釐) -36 - t.---------^------ΐτ------^ (请先間讀背面之注意事項-¾填寫本頁) 申請專利範圍 ABCD (C)供應第一系列氣體至製程腔體並加熱製程腔 體,而形·成第一薄層,其主要包含在該物件表面上的 該第一組成’接著供應第二系列氣體至製程腔體而形 成第二薄層’其主要包含在該第一薄層上的該第二組 成; (d) 供應矽烷系列氣體至製程腔體,而讓矽粒子 沈積於疊於第一薄層上的第二薄層之表面上;且 (e) 重覆該步驟(a)至(d)—預先決定的次數,而得 到許多數目的物件,其具有包含在上面形成的第一和 第二薄層之層壓結構; (f) 在該步驟(e)後供應一齒素系列清潔氣體至該 製程腔體,並加熱包含製程腔體在内的製程容室而使 該製程容器清潔;且 (g) 供應一矽烷系列氣體至製程腔體並加熱包含 製程腔體在内的製程篆身’而去除殘餘在製程容室内 / * ?·!.' · ' 的.鹵素元素 6. 成層壓層的裝,置,其包含有: 包含有用來寧择製程物之製程腔體的製程容 裝 訂 I 線 (請先聞讀背面之注意事項再嗔寫本頁) 經濟部中央標率局負工消費合作社印裝 33 · 器, 一具有定義於其中的氣體腔體之喷灑頭部份,該 喷灑部份暴露成面對容納於該腔體中的物件,具有一 氣體排出壁配備有很多數目的氣體排出孔,來讓該氣 體腔體與製程腔體相通,以及一氣想入口來分別供應 用於反應速率限制反應的薄膜形成氣趙,和另外用於 本紙張尺度逋用中國國家標隼(CNS ) Α4規格(210 X 297公痠 37 8 8 8 8 ABCD 419790 π、申請專利範園 反應物氣體供應速率限制反應的薄膜形成氣體至氣體 腔體中;且 一均勻分散板設置於氣體腔體中,而放在該氣體 排出壁與該氣體入口之間,位於與這氣體放射壁和氣 趙入口相隔一預先決定的距離,並在上面形成有許多 數目的分散孔’該分散板的直徑和這些分散孔的分佈 密度是決定於能夠讓用於反應速率限制反應的薄膜形 成氣體’和另外用於反應物氣體供應速率限制反應的 薄膜形成氣體’可以均勻地流到製程腔體的整個區域 0 7.如申請專利範圍第6項中用來形成層壓層的裝置,其中 該分散孔的直徑最多為0.7毫米,且該分散孔的分佈密 度最少為0.3孔/cm2。 8·如申請專利範圍第7項中用來形成層壓層的裝置,其中 該分散孔的直徑約為0· 1至0.7毫米之間,且該分散孔 刀佈密度在0.3孔/cm2和1 ·0孔/cm2之間。 9_如申請專利範圍第8項中用來形成層壓層的裝置,進一 步包含有上分散板設置於氣體腔體中,放於該均勻分 散板和氣體入口之間,位於與這均勻分散板和氣體入 口相隔一預先決定的距離,並配有至少一氣體穿越孔 本紙張尺度逋用中國國家樣準(CNS ) 格(210X297公釐) LL--.------t------IT—------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部中央榡準局員工消費合作社印策 38
TW087102706A 1997-03-04 1998-02-25 Method and apparatus for forming laminated thin films or layers TW419790B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP06545397A JP3341619B2 (ja) 1997-03-04 1997-03-04 成膜装置

Publications (1)

Publication Number Publication Date
TW419790B true TW419790B (en) 2001-01-21

Family

ID=13287588

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087102706A TW419790B (en) 1997-03-04 1998-02-25 Method and apparatus for forming laminated thin films or layers

Country Status (6)

Country Link
US (2) US6022586A (zh)
EP (1) EP0863227B1 (zh)
JP (1) JP3341619B2 (zh)
KR (1) KR100373790B1 (zh)
DE (1) DE69806281T2 (zh)
TW (1) TW419790B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104250727A (zh) * 2013-06-26 2014-12-31 中芯国际集成电路制造(上海)有限公司 化学气相沉积设备保养后复机方法
CN107667418A (zh) * 2015-06-05 2018-02-06 应用材料公司 用于降低基板温度非均匀性的改良式装置

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127269A (en) * 1996-11-12 2000-10-03 Taiwan Semiconductor Manufacturing Company Method for enhancing sheet resistance uniformity of chemical vapor deposited (CVD) tungsten silicide layers
US6316052B1 (en) * 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
KR100273767B1 (ko) * 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
JP2000294775A (ja) * 1999-04-07 2000-10-20 Sony Corp 半導体装置の製造方法
US6181727B1 (en) * 1999-04-19 2001-01-30 General Electric Company Coating for reducing operating temperatures of chamber components of a coating apparatus
JP2002544394A (ja) * 1999-05-19 2002-12-24 アプライド マテリアルズ インコーポレイテッド 堆積プロセスにおけるSiH4ソークとパージの利用
US6817381B2 (en) * 1999-08-24 2004-11-16 Tokyo Electron Limited Gas processing apparatus, gas processing method and integrated valve unit for gas processing apparatus
DE19945299A1 (de) * 1999-09-22 2001-03-29 Gfe Met & Mat Gmbh Plasmabeschichtungsverfahren und dreidimensionales Kunststoffsubstrat mit einer metallhaltigen Beschichtung auf der Kunststoffoberfläche
JP2001196326A (ja) 2000-01-11 2001-07-19 Tokyo Electron Ltd タングステンシリサイド膜の成膜方法及びゲート電極/配線の作製方法
JP3996771B2 (ja) * 2000-01-12 2007-10-24 東京エレクトロン株式会社 真空処理装置及び真空処理方法
JP4505915B2 (ja) * 2000-01-13 2010-07-21 東京エレクトロン株式会社 成膜方法
US6756088B2 (en) * 2000-08-29 2004-06-29 Micron Technology, Inc. Methods of forming coatings on gas-dispersion fixtures in chemical-vapor-deposition systems
US7018504B1 (en) * 2000-09-11 2006-03-28 Asm America, Inc. Loadlock with integrated pre-clean chamber
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
KR100430473B1 (ko) * 2001-02-06 2004-05-10 삼성전자주식회사 텅스텐 실리사이드 형성방법
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6772513B1 (en) * 2002-06-27 2004-08-10 Sandia Corporation Method for making electro-fluidic connections in microfluidic devices
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
EP1611602A1 (en) * 2003-03-28 2006-01-04 Koninklijke Philips Electronics N.V. Method for epitaxial deposition of an n-doped silicon layer
KR100520837B1 (ko) * 2003-04-01 2005-10-13 삼성전자주식회사 반도체 소자의 제조방법
KR100529675B1 (ko) * 2003-12-31 2005-11-17 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7364007B2 (en) * 2004-01-08 2008-04-29 Schlumberger Technology Corporation Integrated acoustic transducer assembly
JP2005259870A (ja) * 2004-03-10 2005-09-22 Nikon Corp 基板保持装置、ステージ装置及び露光装置並びに露光方法
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
KR100596327B1 (ko) * 2004-09-08 2006-07-06 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP2006140492A (ja) * 2004-11-11 2006-06-01 Samsung Electronics Co Ltd 半導体素子製造に使用される乾式クリーニング装置
JP4541864B2 (ja) * 2004-12-14 2010-09-08 東京エレクトロン株式会社 シリコン酸窒化膜の形成方法、形成装置及びプログラム
KR100686724B1 (ko) * 2005-06-30 2007-02-26 삼성전자주식회사 화학기상증착장치
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070054045A1 (en) * 2005-08-25 2007-03-08 Hsienting Hou Method for conditioning chemical vapor deposition chamber
KR100735520B1 (ko) 2005-09-23 2007-07-04 삼성전자주식회사 텅스텐 실리사이드막 형성 방법 및 이를 이용한 반도체소자의 제조 방법
TWI381470B (zh) * 2007-05-08 2013-01-01 Tokyo Electron Ltd And a treatment device provided with the valve
US7993461B2 (en) * 2007-05-30 2011-08-09 Intermolecular, Inc. Method and system for mask handling in high productivity chamber
KR100903565B1 (ko) * 2007-07-11 2009-06-23 주식회사 탑 엔지니어링 탄소나노튜브 도전막 제조 장비
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5377513B2 (ja) * 2007-12-27 2013-12-25 ラム リサーチ コーポレーション ショートエッチングレシピのためのガス輸送遅延の解消のための装置、方法、及びプログラム格納デバイス
JP4430718B2 (ja) * 2008-03-21 2010-03-10 三井造船株式会社 原子層成膜装置
JP5352103B2 (ja) * 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5457021B2 (ja) * 2008-12-22 2014-04-02 東京エレクトロン株式会社 混合ガスの供給方法及び混合ガスの供給装置
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
KR101336363B1 (ko) * 2009-01-29 2013-12-04 도쿄엘렉트론가부시키가이샤 성막 장치 및 가스 토출 부재
JP5158068B2 (ja) 2009-02-20 2013-03-06 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法
JP2012521094A (ja) * 2009-03-16 2012-09-10 アルタ デバイセズ,インコーポレイテッド ウエハキャリアトラック
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
DE102009051347A1 (de) * 2009-10-30 2011-05-12 Sunfilm Ag Verfahren zur Herstellung von Halbleiterschichten
KR101130618B1 (ko) * 2010-04-20 2012-05-22 (주)엔티아 웨이퍼 증착 장치
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR101707102B1 (ko) * 2014-11-05 2017-02-27 (주)에스아이 화학기상증착용 가스분사노즐
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10950449B2 (en) * 2018-01-12 2021-03-16 Asm Ip Holding B.V. Substrate processing apparatus
US20190311887A1 (en) * 2018-04-06 2019-10-10 Applied Materials, Inc. Multizone gas distribution apparatus
CN111864529B (zh) * 2019-04-30 2021-12-07 潍坊华光光电子有限公司 一种半导体激光器cos固晶快速压膜拆膜装置及压膜拆膜方法
CN114351118A (zh) * 2020-10-13 2022-04-15 东部超导科技(苏州)有限公司 Mocvd反应系统及rebco高温超导带材的制法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3709066A1 (de) 1986-03-31 1987-10-01 Toshiba Kawasaki Kk Verfahren zum erzeugen eines duennen metallfilms durch chemisches aufdampfen
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH02111032A (ja) * 1988-10-20 1990-04-24 Matsushita Electron Corp Mos集積回路装置の製造方法
JPH02181918A (ja) * 1989-01-09 1990-07-16 Matsushita Electron Corp 半導体装置の製造方法
JPH02292866A (ja) * 1989-05-02 1990-12-04 Nec Corp Mis型半導体装置の製造方法
JP2773938B2 (ja) * 1989-11-24 1998-07-09 沖電気工業株式会社 半導体装置の製造方法
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
JP2909364B2 (ja) * 1993-09-20 1999-06-23 東京エレクトロン株式会社 処理装置及びそのクリーニング方法
JP2881371B2 (ja) * 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
JPH07172809A (ja) * 1993-10-14 1995-07-11 Applied Materials Inc 基板上への珪化タングステンコーティングの堆積操作の事前に堆積チャンバのアルミニウムを有する表面を処理する予備処理プロセス
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
JP3430277B2 (ja) * 1995-08-04 2003-07-28 東京エレクトロン株式会社 枚葉式の熱処理装置
US5747845A (en) * 1995-08-22 1998-05-05 Nippon Steel Corporation Semiconductor memory device with memory cells each having transistor and capacitor and method of making the same
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
KR100252210B1 (ko) * 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
US6063198A (en) * 1998-01-21 2000-05-16 Applied Materials, Inc. High pressure release device for semiconductor fabricating equipment

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104250727A (zh) * 2013-06-26 2014-12-31 中芯国际集成电路制造(上海)有限公司 化学气相沉积设备保养后复机方法
CN107667418A (zh) * 2015-06-05 2018-02-06 应用材料公司 用于降低基板温度非均匀性的改良式装置
CN107667418B (zh) * 2015-06-05 2022-03-01 应用材料公司 用于降低基板温度非均匀性的改良式装置

Also Published As

Publication number Publication date
DE69806281D1 (de) 2002-08-08
JP3341619B2 (ja) 2002-11-05
EP0863227A1 (en) 1998-09-09
KR100373790B1 (ko) 2003-04-21
DE69806281T2 (de) 2003-01-16
JPH10247627A (ja) 1998-09-14
US6251188B1 (en) 2001-06-26
EP0863227B1 (en) 2002-07-03
US6022586A (en) 2000-02-08
KR19980079901A (ko) 1998-11-25

Similar Documents

Publication Publication Date Title
TW419790B (en) Method and apparatus for forming laminated thin films or layers
TWI326113B (en) A method of forming a silicon oxynitride film with tensile stress
US6313047B2 (en) MOCVD method of tantalum oxide film
TWI343952B (en) Method for silicon based dielectric chemical vapor deposition
US6827978B2 (en) Deposition of tungsten films
TW577129B (en) Method for fabricating semiconductor integrated circuit device
JP5698719B2 (ja) 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
US20030124818A1 (en) Method and apparatus for forming silicon containing films
US20080246101A1 (en) Method of poly-silicon grain structure formation
KR20220079671A (ko) 갭 충전 증착 공정
TW201207939A (en) Method of improving oxide growth rate of selective oxidation processes
JP2004533722A (ja) 抵抗加熱された単一ウエハチャンバ内のドープ処理済みシリコン堆積処理
TWM299917U (en) Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
US20030047734A1 (en) Bi-layer silicon film and method of fabrication
TW200919553A (en) Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure
JP2005310927A (ja) 紫外線照射による高品質シリコン窒化膜の成膜方法
CN109509698A (zh) 形成氧化硅膜的方法和装置
US6177305B1 (en) Fabrication of metal-insulator-metal capacitive structures
TWI827770B (zh) RuSi膜之形成方法及成膜裝置
JP3667038B2 (ja) Cvd成膜方法
JP3578155B2 (ja) 被処理体の酸化方法
JP2021015947A (ja) RuSi膜の形成方法及び基板処理システム
US20040063301A1 (en) Method of forming a controlled and uniform lightly phosphorous doped silicon film
TW202111850A (zh) 基板處理裝置、基板支撐具、半導體裝置的製造方法及程式
WO2022080153A1 (ja) 基板処理方法および基板処理装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees