TW393671B - Semiconductor device and its manufacturing method - Google Patents

Semiconductor device and its manufacturing method Download PDF

Info

Publication number
TW393671B
TW393671B TW087116449A TW87116449A TW393671B TW 393671 B TW393671 B TW 393671B TW 087116449 A TW087116449 A TW 087116449A TW 87116449 A TW87116449 A TW 87116449A TW 393671 B TW393671 B TW 393671B
Authority
TW
Taiwan
Prior art keywords
aluminum
film
layer
semiconductor device
aluminum film
Prior art date
Application number
TW087116449A
Other languages
English (en)
Inventor
Michio Asahina
Naohiro Moriya
Kazumi Matsumoto
Junichi Takeuchi
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Application granted granted Critical
Publication of TW393671B publication Critical patent/TW393671B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Description

A7 __B7____ 五、發明説明(1 ) 本發明係關於半導體裝置及其製造方法,特別是關於 ,可以細微化,且具有使用鋁之接觸構造之半導體裝置及 其製造方__法。 在L S I等之半導體裝置,隨著元件之細微化,高密 度化及多層化,需要有縱橫比較大之連接用通孔,即接觸 孔及散熱孔。在這種連接用通孔埋入配線材料很困難,而 近幾年來已成爲重要之技術課題。惟有人已在嘗試用配線 材料所用之鋁或鋁合金埋入連接用通孔內。 而這種技術已有,例如日本國特開昭6 4 — 7 6 7 3 6號公報所揭示之技術。此項技術揭示,先在 1 5 0°C以下之溫度堆積鋁或鋁合金,接著利用偏移濺射 (bias spatter )堆積鋁或鋁合金,分兩個階段將鋁埋進連 接用通孔之製造方法。 依據本項技術時,能夠比較均勻堆積鋁膜,雖然可以 改善其涵蓋性,但對發生微孔等,在連接用通孔內之導電 部發生斷線部分之問題,卻未見有充分之改善。 本發明之目的在提供,連接用通孔,特別是散熱孔內 之導電物質使用鋁或鋁合金,不會有微孔或發生斷線,具 有階躍涵蓋性(step coverage )優異之接觸構造之半導體 裝置。 本發明之另一目的在提供,上述半導體裝置之製造方 法。 本發明之半導體裝置之製造方法,係備有,包含元件 之半導體基板及多層配線領域之半導體裝置之製造方法, 本纸饫尺度迖用中Κ四家;(I.彳(( 210X297^ ) 一 -4 - (誚先閱讀背而之注.意事項-S功寫本頁) "" 訂 f· A7 B7 五、發明説明(2 ) 其第2層以上之配線領域之至少一層含有下列製程。 (a )在形成於基體上之層間絕緣膜形成散熱孔之製 程;1 (b )在減壓下,以3 0 0〜5 0 0°C之基板溫度進 行熱處理,藉此去除含在上述層間絕緣膜之氣體化成分之 脫氣製程:' (c )在上述層間絕緣膜及上述散熱孔之表面形成濕 潤層之製程: (d )將基板溫度冷卻至1 0 0°C以下之製程; (e )在上述濕潤層上,以2 0 0 °C以下之溫度,形 成鋁或以鋁爲主要成分之合金構成之第1鋁膜之製程;以 及 (f )在上述第1鋁膜上,以3 0 0 °C以上之溫度, 形成鋁或以鋁爲主要成分之合金構成之第2鋁膜之製程。 此半導體裝置之製造方法之特徵之一是,含%,在製 程(b),於特定之條件下去除上述層間絕緣膜所含之氣 體化成分之製程(脫氣製程)。因爲經過此項脫氣製程, 在後續製程,例如,在以3 0 0 °C以上之高溫條件下進行 之第2鋁膜之形成製程等,可以抑制發生含在層間絕緣膜 內之水,氮氣,氫氣或氧氣等之氣體。在此,層間絕間絕 \ 緣膜雖不特別限定,但例如可以採用,使用矽化合物 TEOS之化學氣相成長(CVD)膜,矽化合物與過氧 化氫之聚合反應所獲得之矽氧化膜等。 本案發明人等已確認,此等由層間絕緣膜發生之氣體 木紙浓尺度试;彳】中 K p^U;!.々(rNS ) ( 210x297-^1 一" ----------- ("先閱讀背而之注念事項再填i:,i本頁)
*1T - -5- A7 B7 五、發明説明(3 ) 可由濕潤層吸收,且不會被散熱孔內之鋁膜所吸收。因此 ,藉製程(b )在鋁膜之成膜前預先去除層間絕緣膜所含 之氣體也成分,得確實抑制,因在濕潤層與第1鋁膜間有 這些氣體存在,致使濕潤層發生濕潤性降低,或產生微孔 。其結果,可以在散熱孔內形成涵蓋性良好而低電阻之鋁 膜構成之接觸部》 在此所稱之「氣體化成分」係指,例如在減壓下,基 板溫度在3 0 0°C以上時,由堆稹層,即由層間絕緣膜或 濕潤層產生之水,氫氣,氧氣或氮氣等之氣體成分。而所 稱「減壓下」是指2 . 6Pa以下,最好是1 . 3Pa以 下之氣壓。 又在本發明,於上述製程(d )將基板溫度冷卻到 1 0 0°C以下,最好是冷卻到室溫〜5 0°C。由於在此製 程(d )冷卻基板溫度,可在第1鋁膜之成膜前充分降低 基板溫度。因爲在上述製程(b )之脫氣製程使基板溫度 到達3 0 0°C以上之高溫,因此,在本製程(d )使基板 溫度確實下降,便可在後續之製程確實調節溫度。又因經 過此製程,在形成第1鋁膜時,能夠儘可能抑制從層間絕 緣膜及濕潤層,甚至從晶圓整面放出之氣體量。其結果* 可以防止吸附在濕潤層與第1鋁膜界面之對涵蓋性或密接 \ 性有害之氣體之影響。 而在上述製程(e),在上述濕潤層上,以200 °C 以下,最好以30〜100°C之溫度,形成第1鋁膜,藉 此抑制含在上述層間絕緣膜及濕潤層之氣體化成分氣化, i紙弦尺度这W中K囡’4m ( CNS )八4吼枯< 210X297公雜) {誚先閱讀背而之注意事項再楨寫本頁)
T ,π -6 - A7 B7 五、發明説明(4 ) 而得防止從濕潤層向外部放出之氣體化成分使濕潤層之濕 潤性降低。其結果,可使第1鋁膜良好密接在濕潤層,可 以形成涵1蓋性良好之鋁膜。 而由於有此第1鋁膜,縱使基板之溫度上昇,仍能抑 制從較第1鋁膜爲下層之層間絕緣膜及濕潤層發生氣體, 而在第2鋁膜之成膜製程(f),能以較高之溫度,即, 鋁或鋁合金可以流動擴散之高溫,具體上是3 0 0°C以上 ,最好是5 0〜4 5 0°C之溫度,形成第2鋁膜。 如此,在製程(e )以較低之溫度形成第1鋁膜,及 在製程(f )以較高之溫度形成第2鋁膜,則可以在無微 孔,階躍涵蓋性良好之散熱孔進行埋入。而且,本發明之 製造方法已確認,可以適用於0 . 6 /zm以下之散熱孔。 在上述製程(e )及(f )之鋁膜之成膜,以採濺射 法爲佳,且第1鋁膜與第2鋁膜以在同一處理室內連續進 行成膜較理想。如此,在同一處理室內連續進行鋁膜之成 膜,則一方面很容易控制基板溫度,同時亦可正確控制環 境條件,可以避免在第1鋁膜之表面形成氧化膜等之不妥 情事。而基板溫度係藉控制載置基板之平抬之溫度而設定 之。 而上述製程(d) ,(e)及(f)以在備有保持減 _ \ 壓狀態之多數處理室之同一裝置內連續進行較佳》藉此, 可以減少基板之移動,設置過程,結果是,可以達成製程 之簡化,並可防止基板受污染於未然。 藉上述製造方法形成之半導體裝置,係備有,包含元 ί、紙张尺度迖W中K PC家樣彳{ ( NS >Λ4規柏(210X297公麓) ---------^-- (对先閱讀背而之注意事項再坫艿本頁) •1Τ ^.. _____B7_ 五、發明説明(5 ) .件之半導體基板及多層配線領域之半導體裝置,其第2層 以上之配線領域之至少一層含有: 藉㈣處理去除氣體化成分之層間絕緣膜; 形成在上述層間絕緣膜之散熱孔; 形成在上述層間絕緣膜及上述散熱孔之表面之濕潤層 :以及, 形成在上述濕潤層上之鋁,或以鋁爲主要成分之合金 構成之鋁膜。 此半導體裝置之特徵在於,具備有藉熱處理去除氣體 化成分之層間絕緣膜,而如上述,具有良好階躍涵蓋性之 鋁膜構成之接觸部。 而且,本發明之散熱孔除了藉異方性之乾蝕刻所形成 者以外,也可以是組合等方性之濕蝕刻與異方性之乾蝕刻 ,將散熱孔之上端部適度形成爲圓錐形者。例如,此型之 散熱孔,下部之藉異方性之乾蝕刻所形成之部分之口徑爲 0.5〜0.8em,縱橫比爲0.5〜3時,因爲能夠 以30 〇〜3 5 0 °C形成第2鋁膜,因此可以使用非高溫 規格之一般用之濺射裝置,實用上非常有用。 第1A圖〜第1C圖及第2A圖,第2B圖,係說明 本發明半導體裝置之製造方法及半導體裝置之一實施形態 用之槪要截面圖。第1A圖〜第1 C圖表示製造第1層之 配線領域L1 ,第2A圖及第2B圖表示製造第2層之配 線領域L2之製程。 以下表示半導體裝置之製造.方法之一個例子。 木纸乐尺度Η 中段以本忆:t (.CNS )八规柏(210X297公籍Π ("先閱讀背而之注意事項再in寫本頁) 訂 -8- A7 __B7_ 五、發明説明(6 ) (A )茲說明第1 A圖所示之製程如下》 (元件之1形成) 首先,以一般使用的方法,在矽基板1 1形成MO S 元件》具體上是,例如,在矽基板1 1上藉選擇氧化形成 場絕緣膜1 2,在主動領域形成閘極氧化膜1 3。藉通道 注入調整門檻値電壓後,在熱分解甲矽烷(S i He)而成 長之聚矽膜上濺射鎢矽化物,再積層矽氧化物1 8,蝕刻 成一定之圖型,藉此形成閘電極1 4。這時,可視需要在 場絕緣膜1 2上形成,由聚矽膜及鎢矽化物膜構成之配線 層3 7。 接著注入磷,形成源極領域或吸極領域之低濃度雜質 層1 5。然後,在閘電極1 4之側部形成矽氧化物之側壁 間隔膜1 7後,注入砷,藉使用鹵素燈之退火處理使雜質 活性化,以形成源極領域或吸極領域之高濃度雜質層1 6 〇 接著,令其成長1. 00 nm以下之氣相成長矽氧化膜 ,以H F與NH4之混合水溶液選擇性蝕刻,使其露出一定 之矽基板領域。接著,例如以3 0〜1 0 0 nm前後之厚 度濺射鈦,在控制氧氣於5 0 p pm之氮氣環境中,以 6 5 0〜7 5 0 °C之溫度進行數秒〜6 0秒前後之一瞬間 退火,在開口之矽基板表面形成鈦之矽化物層,在矽氧化 膜18上形成多鈦之TiN層。接著浸泡在NH4〇H與 H2〇2之混合水溶液中,上述T i N層會被腐蝕去除,僅 本紙沭尺度这州中K G家彳ί.彳(CNS ) A4im ( 210 X 297^* ] {"先閱讀背而之注意事項再填巧本頁) -.
,1T -9- ί··乂;τ'-Γ·—.·ί'. cir.^uc.1.消 4,人印,r A7 _ B7 五、發明说明(7 ) 在矽基板表面殘留下鈦之一矽化物層。再經過7 5 0〜 8 5 0 °C之燈退火(lampanneal ),使上述一矽化物層變 成==矽以物,在高濃度雜質層1 6之表面以自行匹配方式 形成鈦矽化物層1 9。 再者,若僅以聚矽層形成閘電極1 4,再以選擇蝕刻 使其露出時,便成爲閘電極與源極,吸極領域之雙方由側 壁隔膜分離開之鈦矽化物構造。 矽化合物構造在鈦矽化合物之外,亦可由鎢矽化合物 ,鉬矽化合物所構成。 (B )其次說明第1 B圖所示之製程。 (第1層間絕緣膜之形成) 第1層間絕緣膜I1是,先令TEOS與氧氣產生電 漿反應,以形成厚度1 0 0〜2 0 0 nm之矽氧化膜2 0 。此矽氧化膜2 0之矽化物層1 9沒有氧化,無光點,較 從S i H4成長之膜之絕緣性爲髙,對氟化氫水溶液之蝕刻 速度不快,可成爲十分緻密之膜。 在此係在鈦矽化合物層1 9上直接形成矽氧化膜,但 若這時之成膜溫度很高,則在成膜初期,氧化性氣體與鈦 矽化合物很容易就起反應,而容易產生龜裂或剝落,因此 處理溫度以600 °C以下爲佳,250〜400 °C更隹。 而在以上述之比較低之溫度在鈦矽化合物層19上形成 10 0 nm前後之矽氧化膜後,如果是曝露在水蒸氣以外 之氧化環境之退火或氣相氧化處理,則將溫度提高到 本纸依八度试W中K py家樣彳(( NS ),\4悅秸(210X 297公釐~) {閱讀背而之注意事項再楨fit本頁)
•1T t -10- A 7 ___B7_ 五、發明説明(8 ) 9 0 0 °C也不會有問題^ 然後,在上述矽氧化膜20上,令S iH4或TEOS 等之矽繞化合物,與氧或臭氧,及含磷及硼之氣體進行氣 相反應,形成膜厚度數百nm〜1 前後之B P S G膜 30,當作第1層間絕緣膜I 1。然後在氮氣環境中進行 8 0 0〜9 0 0°C之退火,藉高溫流動進行平坦化。再者 ,亦可不採B P S G膜3 0之高溫流動,而以一般使用化 學機械硏磨,或用S OG膜取代,進行平坦化。 (形成接觸孔) 接著,利用以CHF3與C F4爲主氣體之反應性蝕刻 劑,對構成層間絕緣膜之B P S G膜3 0及矽氧化膜2 0 進行選擇性之異方性鈾刻,形成口徑0 . 2〜0 . 5ym 之接觸孔3 2。 (C)其次說明第1C圖所示之製程》 (脫氣處理) 首先說明本發明特徵之包含脫氣製程之熱處理。 使用燈處理室,以1 X 1 0_4P a以下之基本壓力, 在1 5 0〜2 5 0 eC之溫度施加3 0〜6 0秒間之燈加熱 (熱處理A)。接著,以不同的處理室,在0 . 1〜 1 . OPa之壓力下導入氬氣,以300〜550 °C之溫 度進行3 0〜1 2 0秒鐘之熱處理(脫氣製程,熱處理B ),藉此進行脫氣處理。 ’本紙乐尺度述州屮Κ Κ ·4:彳.々(<,NS ) Λ4叹祐(210X297公釐) " ---------------1T------ (ΪΛ先閱讀背而之注意事項再访巧本Η ) -11 - Ί ί; η η -Ί f: A f: 印 A7 _B7_ 五、發明説明(9 ) 在本製程,首先在熱處理A,主要是對包含晶圓之背 面及側面之整體晶圓進行加熱處理,以去除附著在晶圓之 水分等。‘1 並在熱處理B,主要可以去除構成第1層間絕緣膜 I 1之BPSG膜30中之氣體成分(氧氣,氫氣,水, 氮氣)。其結果,在下一製程形成阻擋層及鋁膜時,可以 防止從B P S G膜產生氣體化成分。 在本實施形態,阻擋層3 3係由具有阻擋功能之阻擋 膜,及由導電膜形成之多層膜,所構成。導電膜係爲了提 高電阻之阻擋膜與形成在矽基板之雜.質擴散膜層,即源極 領域與吸極領域間之導電性,而形成在阻擋膜與雜質擴散 層之間。阻擋膜可以使用一般性物質’例如鈦’鈷等之亞 硝酸鹽,而收到良好效果。而導電膜則可以使用鈦,鈷等 之高觸點金層。此等鈦,及鈷可與構成基板之矽起反應, 而成矽化合物。因阻擋層,例如T i N層/T i膜會因溶 有數十原子%之氣體化成分(氧氣,氬氣,水,氮氣), 因此在形成此等之膜以前,先去除層間絕緣膜I 1之 B P S G膜3 0中之氣體化成分,對在接觸孔內良好完成 鋁膜之成膜上,極爲有效。若未充分去除阻擋層下層之 B P S G膜中之氣體化成分,則在形成阻擋層時之溫度( 通常在3 0 0 °C以上)下,會放出B P S G膜中之氣體化 成分,此項氣體會進入阻擋層中》而且,此項氣體在鋁膜 之成膜中會脫離阻擋層,逸出到阻擋層與鋁膜之界面,因 此對鋁膜之密接性或流動性有不良影響。 本紙依尺度到:RK家樣中((、NS ) Λ4規栳(2^:^297公梦_ ) m m n - m -!- HI n 11 1^1 I (对先聞讀背IFJ之注意事項再楨寫本頁) ,ιτ ψ- -12-
f:,;,,-v「十"^"K u η 消论合 C"·印 V A7. B7 五、發明説明(10) (阻擋層之成膜) 藉灘1射法形成厚度2 0〜7 0 nm之鈦膜,作爲構成 阻擋層3 3之導電膜,接著在其他之處理室形成厚度3 0 〜1 50nm之T i N膜,作爲阻擋層。濺射之溫度係依 膜厚度,選擇在2 0 0〜4 5 Ot之範圍。 其次,以1 0〜1 0 OP a之壓力,曝露在氧氣電漿 中1 0〜1 00秒,在450〜700 °C之氮氣或氫氣環 境中進行1 0〜6 0分鐘之退火處理,則可在阻擋層中成 島狀形成氧化鈦。經由此處理確認可以提高阻擋層之阻擋 性。 同時,此項退火處理也可以經由至少含有數百P pm 〜數%之氧氣之燈退火爐之4 0 0〜8 0 0°C之熱處理來 完成,同樣可提高阻擋層之阻擋性。 (鋁膜之成膜前之熱處理) 首先,在冷卻晶圖之前,先在燈處理室內,以1 X 10 一 4Pa之基本壓力,150〜250 °C之溫度進行 3 0〜6 0秒鐘之熱處理(熱處理C),去除附著在基板 之水等之物質。 (晶圓之冷卻) 在鋁膜之成膜前,使基板溫度降低到1 0 0 °c以下’ 最好是室溫〜5 0°C之溫度。此項冷卻過程,對降低因上 本紙張尺度iC用中K PS ‘4:樣々((,1^)/\4規梢(210 '/297公釐) {誚先閱讀背'FJ之注意事項再填舄本頁) *?τ -13 - ·>): η 埤 r) ι\ -1 ί- A f. 印 *·: A7 ____B7_ 五、發明説明(彳彳) 述熱處理而上昇之基板溫度十分重要。 如此冷卻晶圓,則可儘量減少在第1鋁膜之成膜時, 由BP$G膜30及阻擋層33,甚或由晶圓全面放出之 氣體量。其結果,可以防止吸附在阻擋層3 3與第1鋁膜 3 4界面之對涵蓋性有害之氣體之影響。 此項冷卻製程,最好是兼用備有鋁膜成膜用之同一架 構之多數處理室之濺射裝置來進行。例如,將基板載置於 濺射裝置內之具有水冷功能之平抬上,將該基板溫度降低 到一定溫度較佳。以下詳述此項冷卻製程。 第3 A圖表示包含具有水冷功能之藤射裝置之一個例 子之模式圖,第3 B圖表示平抬之一個例子之平面圖。 此濺射裝置係有同一架構之多數處理室5 0。在處理 室5 0內有兼用電極之靶5 1及兼用作平抬之電極5 2, 可在電極5 2上載置欲冷卻之基板(晶圓)W。處理室 5 0設有,可使室內變成真空之排氣機構6 0,及濺射鋁 時,向處理室內供氣之第1氣體供應路5 3。電極5 2具 體上係如第3 B圖所示,沿著電極5 2上面之外周部分設 有突起狀之支持部5 2 a ,使電極5 2與晶圓W之間有一 定之空間。而且,在電極5 2連接有第2氣體供應路5 4 ’當熱傳導媒體用之氣體,例如氬氣,係由第2氣體供應 路5 4,供給電極5 2與晶圓W間之空間。而電極5 2亦 兼具冷卻晶圓W之冷卻系統之任務。電極5 2係藉從冷媒 供應路5 6供應之冷媒,例如水之環流,而調節在一定溫 度。電極5 2之上面,係例如第3 B圖所示,爲了要向上 本紙乐尺度Η用((,N'S ) Μ現指(210X297公《 ) (誚先閱讀背而之注意事項再蛾巧本页) ΗΛ
•IT -14-
「代i?-.^v=r.-r-u;i V A7 _____ B7_ 五、發明説明(12 ) 述空間均勻供應氣體,以一定之圖型形成有溝5 8,而在 溝交叉之部分設第2氣體供應路之吹出口 5 4 a。 上述1濺射裝置之動作如下,以冷卻晶圓》 利用排氣機構6 0使處理室內成爲6 X 1 〇ip a以 下之真空狀態,而在電極5 2之支持部5 2 a上載置晶圓 W。從第2氣體供應路5 4,將當作電極5 2與晶圓W間 之熱傳導媒體之氣體,導入電極5 2與晶圓W間之空間, 將該空間之壓力保持在600〜lOOOPa ,且以排氣 機構6 0排出從該空間漏出到處理室內之氣體,同時冷卻 晶圓W。 冷卻晶圓W時,爲了保持冷卻效率,在電極5 2與晶 圓W間需要有一些壓力。亦即,爲了要提高晶圓W之冷卻 效率,需要提高電極5 2與晶圓W間之熱傳導,而爲了提 高熱傳導,需要提高電極5 2與晶圓W間之空間之氣體( 熱傳導媒體)之壓力。 晶圓之冷卻方法可以考慮在真空處理室內,將晶圓載 置於備有處理室內之冷卻機構之平抬上進行冷卻之方法。 採這種冷卻製程時,並非直接將氣體供給平抬與晶圓間之 空間,而爲了使該空間之壓力依存於處理室內之壓力,而 提高平枱與晶圓間之空間之壓力;因而有需要提高處理室 y 內之壓力。惟,若爲了提高冷卻效率而提高處理室內之壓 力,處理室內之氣體分子會增加,因而會發生晶圓W之上 面會很容易被氣體分子污染之情事,因此傷害到鋁之回流 ,引致發生微孔,及配線之高電阻化。反之,爲了防止晶 ί、紙広反度ii m ΐ. K W ‘樣々(rNS ) Λ4· ( 210X297公) ~ ---------^ A.-- (对先閱請背IFJ之注意事項再硪寫本頁)
、1T ^51. •15- A 7 ______B7_____ 五、發明説明(13 ) (¾先閱請背而之注4事項再读K本I ) 圓之污染,而降低處理室內之壓力,晶圓與平抬間之空間 之壓力也會降低,因而晶圓與平枱間之熱電導降低’其結 果,會*冷卻效率有不良影響。 t 依據上述本實施形態之冷卻製程,係令氣體流入電極 5 2與晶圓W表面之間,藉此確保電極5 2與晶圓W間之 空間之壓力,因此可以與處理室內之壓力分開,獨立控制 該空間之壓力。因此,從確保晶圓與平枱間之熱傳導媒體 之觀點,可以與上述空間之壓力分開,獨立將處理室內之 壓力抑制到lxlO_3〜0 . IPa »藉此,可以確實防 止氣體分子污染晶圓之上面,其結果,可以提高鋁之回流 性及低電阻化。而因可以不提高處理室內之壓力,將上述 空間之壓力設定在6 0 0〜1 3 0 0 P a之範圍,因此可 以提昇熱傳導,提高冷卻效率。如此,依據此冷卻製程時 ,便可以提高晶圓W與電極5 2間之空間之壓力,同時降 低處理室內之壓力,一面防止晶圓之污染,同時獲得良好 之冷卻效率。 (鋁膜之成膜) 首先,以2 0 0 °C以下,最好以3 0〜1 0 0 °C之溫 度,藉濺射法高速度形成含0.2〜1.0重量%之銅之 厚度1 50〜300nm之鋁膜,而形成第1鋁膜34。 接著,在同一處理室內加熱成基板溫度3 5 0〜4 6 0 °C ,同樣藉濺射以低速度形成同樣含銅之鋁膜,形成厚度 300〜600nm之第2鋁膜35。在鋁膜之成膜中, 本紙张尺度这)丨Γ1)· K K ( CNS ) Λ4規柁(210X297公釐) -16- A7 _____B7_ 五、發明説明(14 ) 所謂「高速度」,雖然因成膜條件或製造之裝置之設計事 項,無法一槪加以規定,但是指1 0 n m/秒以上之濺射 速度,"^低速度」則指差不多3 nm /秒以下之濺射速度 〇 鋁之濺射,係在上述冷卻晶圓時所用之濺射裝置內爲 之。由於在保持真空環境之同一裝置內進行冷卻製程及鋁 膜之成膜製程,可以減少基板之移動,設置之製程數,其 結果,可以簡化製程,及防止基板之污染。 在此,從第1氣體供應路5 3及第2氣體供應路5 4 ,均供應氬氣。而藉第2氣體供應路5 4供應之氣體,控 制晶圓W之溫度。 第4圖表示使用這種濺射裝置控制基板溫度之一個例 子。在第4圖,橫軸表示經過的時間,縱橫表示基板(晶 圓)溫度。同時,在第4圖,以記號a表示之線,係表示 將濺射裝置之平抬5 2之溫度設定在3 5 0°C時之基板溫 度變化,以記號b表示之線,係表示通過第2氣體供應路 5 4將高溫之氬氣供給處理室內以提高平抬5 2之溫度時 之基板溫度之變化。 基板之溫度控制係例如下述方式爲之。首先,平抬 5 2之溫度係預先設定在形成第2鋁膜之溫度(3 5 0〜 5 0 0°(:)。形成第1鋁膜時,未從第2氣體供應路5 4 供應氣體,基板溫度係藉平枱5 2之加熱,而如第4圖之 記號a所示慢慢上昇。形成第2鋁膜時,由第2氣體供應 路5 4供應加熱之氣體,而如第4圖之記號b所示,使基 本紙达尺度iC出中K K'木彳O ( ( AS ) Λ4悦招(210X297公釐) (邻先閱讀背而之注意事項再蛾寫本頁)
-17- A7 B7 五、發明説明(15 ) 板溫度急激上昇,控制使其達一定之溫度。 第4圖所示之例子,平枱溫度設定在3 5 0 °C,而在 基板溫度1設定在1 2 5〜1 5 0°C之間,形成第1鋁膜 34,然後立即進行第2鋁膜35之成膜。 在鋁膜成膜時,與成膜速度及基板溫度控制一樣,控 制加在濺射裝置之電力也很重要。即,跟成膜速度也有關 連,第1鋁膜3 4之成膜係以大電力爲之,第2鋁膜3 5 之成膜則以低電力爲之,且很重要的一點是,從大電力切 換到小電力時不要使電力降到零。若使電力降到零,在減 壓下也會在第1鋁膜之表面形成氧化膜,第2鋁膜對第1 鋁膜之濕潤性降低,雙方之密接性變差》換言之,恆常施 加電力可在成膜中之鋁膜表面繼續供應活性之鋁,抑制氧 化膜之形成。再者,電力之大小係依存於濺射裝置或成膜 條件等,無法一槪加以規定,但,例如在第4圖所示之溫 度條件時,將高電力設定在5〜1 0KW,低電力設定在 300W〜1KW較理想。 如此,由於在同一處理室內連續完成第1鋁膜3 4及 第2鋁膜3 5之成膜,則可嚴密控制溫度及電力,能夠較 以往更有效地形成低溫且穩定之鋁膜。 上述第1鋁膜3 4之厚度,因爲考慮到,能夠形成良 好之階躍涵蓋性連續形成,以及抑制較該鋁膜3 4爲下層 之阻擋層3 3及構成層間絕緣膜之B P S G膜3 0放出氣 體化成分等,而選擇適當之範圍’例如以2 0 0〜4 0 〇 nm爲佳。而第2鋁膜3 5係由接觸孔之大小以及其縱橫 本紙张尺度这州屮氏卜'^^(('\5)八4见核(210><297公釐) (誚先閱讀背而之注意事項再楨巧本I ) .H^·
*1T ^1· -18 - A7 ______B7___ 五、發明説明(16 ) 比等所決定,例如要塡埋縱橫比3左右:0 . 5//m以下 之孔時,需要300〜lOOOnm之膜厚度。
I (反射防止膜之成膜) 再進一步以別的濺射室,藉濺射堆積T i N,形成膜 厚度30〜80nm之反射防止膜36。然後,利用以 C 1 2與8 C 1 3爲主體之異方性乾蝕刻裝置選擇式蝕刻由 上述阻擋層33,第1鋁膜34,第2鋁膜3 5及反射防 止膜3 6所構成之堆積層,形成第1金屬配線層4 0之圖 型。 如此形成之金屬配線層4 0已確認,可在縱橫比 0 . 5〜3,口徑0 . 2〜0 . 8#m之接觸孔內,不發 生微孔,能以良好之階躍涵蓋性埋入鋁。 (D)其次說明第2A圖所示之製程。 (第2層間絕緣膜之形成) 在形成有上述第1金靥配線層4 0之基體,即,在形 成有MOS元件之矽基板11與第1層間絕緣膜I1及第 1金屬配線層4 0構成之第1配線領域L 1上,形成由三 層矽氧化膜構成之層間絕緣膜6 0,作爲第2層間絕緣膜 1 2。層間絕緣膜60係由,令S iH4或TEOS等之矽 院化合物,與含有氧氣或溴氧,N2O等之氣體進行氣相反 應而形成之厚度1 0 0〜2 0 0 nm之第1矽氧化膜(基 層)60a ,在lOOPa以下之減壓下以氮氣爲載體, 本紙張又度迖出中挥氏家扰彳((,NS ) Λ4規栳(2]〇Χ297公梦> ---------^4-- (ΐί先閱请背而之注念事項再^对本頁) 訂 -19- A 7 ___B7__ 五、發明説明(17) 令Si H4與H2〇2反應所形成之厚度5 Ο 0〜 (誚先閱讀背而之注意事項再填巧本頁) 800nm之第2矽氧化膜60b,以及,與上述第1矽 氧化膜6'0 a同樣形成之厚度2 0 0〜5 0 0 nm之第3 矽氧化膜6 0c ’所構成。 在此,上述第2矽氧化膜6 0 b可以令由甲矽烷,乙 矽烷,S i2C 12,S i 等無機矽烷化合物,及 C Η 3 S iH3,三丙矽烷,四乙氧基矽等有機矽烷化合 物中選擇之至少一種矽化合物,與過氧化氫,在1 〇°C以 下之溫度藉減壓CVD法使其反應’而形成。上述第2矽 氧化膜6 0 b其本身具很高之流動性,具有優異之自行平 坦化特性。 (散熱孔之形成) 接著,利用以CHF3&C F4爲主要氣體之反應性蝕 刻劑,選擇性異方性蝕刻第2層間絕緣膜6 0 ( I 2 )及 反射防止膜36,而形成口徑0 · 2〜0 . 5//m之散熱 孔6 2。 (E)其次說明第2B圖所示之製程。 (脫氣處理) 首先說明包含本發明特徵之脫氣製程之熱處理如下。 藉燈處理室,以1 X 1 〇-4P a以下之基本壓力, 1 50〜250 °C之溫度,施加30〜60秒鐘之燈加熱 (熱處理D)。接著,用別的處理室,以0 . 1〜1 . 0 本紙乐尺廋试用中Pi 1¾ *榀彳((55〇八4岘秸(2丨0乂297公釐) -20- A7 _____B7_ 五、發明説明(18 ) P a之壓力導入氬氣,以3 0 0〜5 5 0 °C之溫度進行 30〜120秒鐘之熱處理(脫氣製程:熱處理E),進 行熱處理1。 在本製程,首先在熱處理D,主要時包括晶圓之背面 及側面之整個晶圓加熱處理,以去除附著在晶圓之水分。 再於熱處理E,主要去除第2層間絕緣膜I 2中之氣 體化成分(氧氣,氫氣,水,氮氣)。其結果,在後續製 程形成濕潤層及鋁膜時,可防止從層間絕緣膜I 2產生氣 體化成分。‘ 在本實施形態,因爲濕潤層,例如T i膜固溶有數原 子%之氣體化成分(氧氣,氫氣,水,氮氣),因此在形 成有此膜之前,先去除第2層間絕緣膜2中之氣體化成分 ,對能夠在散熱孔內良好形成鋁膜,極其有效。若不充分 去除在濕潤層下層之層間絕緣膜I 2中之氣體化成分,則 在形成濕潤層時,會放出層間絕緣膜I 2中之氣體化成分 ,而此項氣體會進入濕潤層內。而且,在鋁膜之成膜時, 此氣體會脫離濕潤層,逸出到濕潤層與鋁膜之界面,因此 對鋁膜之密接性或流動性有不良影響》 (濕潤層之成膜) ( 藉濺射法,以例如2 0〜7 0 nm厚度之鈦膜,形成 用以構成濕潤層6 3之膜》濺射之溫度以1 0 0°C以下爲 佳,最好是2 5 °C以下。 濕潤層6 3之形成方法對在散熱孔埋入鋁膜有非常大 本纸汰尺度这圯,!: 1¾ β ’4*:伐彳(ds ) Μ現梠(210x297公》) (对先閱讀背而之:;ϊ·意事項再硝3本頁) Τ *1Τ -21 - A7 ____B7_ 五、發明説明(19 ) 之影響》其理由如下。形成在散熱孔6 2內之第2鋁膜 62,係以420〜460 °C之高溫下,以濺射法形成。 這個時^,若在散熱孔上部之濕潤層較厚,構成濕潤層之 物質與鋁會起反應,形成兩者之化合物或合金(例如濕潤 層由鈦形成時爲鋁鈦合金),散熱孔之上端部被這些或合 金阻塞,發生所謂夾斷(pinch off )狀態。在這種夾斷狀 態時,在第2鋁膜之成膜中,鋁向散熱孔內部之流動受到 阻礙,容易在鋁膜發生微孔。 要防止發生微孔,濕潤層6 3之膜厚度最好是散熱孔 6 2底部及其附近較厚,其他之散熱孔6 2之上端部及側 壁部較薄。要形成如此控制膜厚度之濕潤層6 3時,最好 是採用平行光管灘射法(collimator spattering )或長衝程 (long throw )濺射法。本發明人已確認,若藉此等方法形 成濕潤層,便可以形成,口徑0 . 2 A m,縱橫比3以上 (3〜6 )之散熱孔,不會發生夾斷及微孔,十分良好之 鋁膜》 (鋁膜之成膜前之熱處理) 首先,在冷卻晶圓之前,在燈處理室內,以1 X 10_4Pa以下之基本壓力,150〜250t之溫度, 進行2 0〜6 0秒鐘之熱處理(熱處理F),去除附著在 基板之火等之物質。 (鋁膜成膜前之晶圓之冷卻) 本紙乐尺度这圯中R Β; 5ί-彳:1彳(('NS )八4蚬栳_( 210X 297公釐} ---------^-- (ΐί先閱請背而之注&事項再硝艿本頁) 、1Τ -22- A7 __B7__ 五、發明説明(20 ) 在形成鋁膜之前,使基板溫度降低到1 0 0°C以下, 最好降到室溫〜5 0*C之溫度。此項冷卻製程對降低濕潤 層6 3在1濺射時上昇之基板溫度十分重要。 如此冷卻晶圓,則在形成第1鋁膜6 4時,可儘可能 降低,從第2層間絕緣膜I 2及濕潤層6 3,甚至從整個 晶圓放出之氣體量。其結果,可以防止,吸附在濕潤層 6 3與第1鋁膜6 4之界面之對涵蓋性或密接性有害之氣 體之影響。 此項冷卻製程最好是兼用在此項冷卻後進行之鋁膜之 成膜時所用之濺射裝置來進行。同時,在此冷卻製程,亦 可使用第3 A圖所示之濺射裝置,與上述之在阻擋層表面 形成鋁膜前進行之冷卻製程之相同之理由,取與該製程同 樣之製程較佳。藉此,可以一面提高晶圓W與電極5 2間 之空間之壓力,同時降低處理室內之壓力,因此可以防止 基板之污染,同時獲得良好之冷卻效率。 (鋁膜之成膜) 首先,以200 °C以下,最好以30〜100 °C之溫 度,藉濺射法以高速度形成厚度1 5 0〜3 0 0 nm之含 0 . 2〜1 . 0重量%之銅之鋁膜,而形成第1鋁膜64 。接著,在同一處理室內加熱到基板溫度4 2 0〜 4 6 0°C,同時藉濺射法,以低速度形成同樣含銅之鋁膜 ,形成厚度300〜600nm之第2鋁膜65。在形成 鋁膜時,所謂「高速度」雖然因成膜條件或欲製造之裝置 本纸乐凡度iC 用中 K Pi:象揼t ( ( NS ) ( 210x297/^« ) (邻先閱讀背而之注&事項再填寫本I ) 訂 ^ -23- A7 B7 if- i! U) f A 印 五、發明説明(21 之設計事項,無法一槪加以規定,但是指,大約1 0 nm /秒以上之濺射速度,所謂「低速度」係指大約3 nm/ 秒以下之1濺射速度。 鋁之濺射係在鋁膜之成膜前進行之晶圓之冷卻時所用 之濺射裝置內爲之。如此,由於在同一裝置內進行冷卻製 程及鋁膜之成膜製程,可以減少基板之移動,設置之製程 數,其結果,可以簡化製程,及防止基板之污染。 在此,從第1氣體供應路5 3及第2氣體供應路5 4 ,均供應氬氣。而藉由第2氣體供應路5 4供應之氣體, 控制晶圓W之溫度。 上述濺射裝置之架構,晶圓之溫度控制及濺射時之電 力,係與第1金屬配線層4 0時相同,詳細說明從略。 由於在同一處理室內連續進行第1鋁膜6 4與第2銘 膜6 2之成膜,因而可嚴格控制溫度及電力,因此能夠以 高效率形成較以往爲低溫,且穩定之鋁膜。 上述第1鋁膜6 4之厚度,可以考慮要能夠以良好之 階躍涵蓋性形成連續層,以及能抑制從較該鋁膜6 4爲下 層之濕潤層6 3及第2層間絕緣膜I 2放出氣體化成分等 ,而選擇適當之範圍,例爲2 0 0〜4 Ο Ο n m爲佳。而 第2鋁膜6 5則由散熱孔6 2之大小及其縱橫比等而定’ 例如要塡埋縱橫比3左右,0 . 5gm以下之孔時’需要 300〜lOOOnm之膜厚度。 (反射防止膜之成膜) 木紙张凡度这用中KK Ad ( (_NS ) Λ4叹掐(210X297公釐) (誚先閱讀背而之注§事項再填Λ?本頁)
T
•1T -24- :r:;.">「ψ·φ、η""η( 7,"·^: A” c^·印, A7 ____B7___ 五、發明説明(22 ) 再進一步以別的處理室,藉濺射堆積T i N,形成膜 厚度30〜80nm之反射防止膜66。然後,藉以C 12 與B C I'、之氣體爲主體之異方性乾式蝕刻劑選擇性蝕刻, 由上述濕潤層63,第1鋁膜64,第2鋁膜65及反射 防止膜6 6構成之堆積層,形成第2金屬配線層7 0之圖 型。 在如此形成之金屬配線層7 0,確認能夠在縱橫比 0 . 5〜6 ,口徑0 . 2〜0 . 8#m之散熱孔內,不發 生微孔,以良好之階躍涵蓋性塡埋鋁膜。 以後,可視需要,與第2配線領域一樣,形成第3, 第4 .........之多層配線領域。 如上述,可藉以上之方法形成本發明之半導體裝置( 參照第2圖)。此半導體裝置備有,含MOS元件之矽基 板1 1,形成在上述矽基板1 1上之第1配線領域L 1及 形成在此配線領域L1上之配線領域L2。 第1配線領域L 1備有,藉熱處理去除氣體化成分之 B P S G膜3 0及矽氧化膜2 0構成之第1層間絕緣膜 1 1,形成在上述層間絕緣膜I 1之接觸孔3 2,形成在 上述層間絕緣膜I1及上述接觸孔32表面之阻擋層33 ,以及,形成在上述阻擋層3 3上之由鋁或以鋁爲主要成 v 分之合金形成之鋁膜3 4,3 5。而上述鋁膜3 4係介由 阻擋層33連接在鈦矽化物層19。 第2配線領域L 2備有,藉熱處理去除氣體化成分之 矽氧化膜6 0構成之第2層間絕緣膜I 2,形成在上述層 本纸張尺度这用 '中K巴"( CNS ) AAiSJt ( 210X297^* ) ^^^^1 ^^^^1 ^il^i n^iv ^nn mi a T {对先閱請背而之注意事項再"寫本頁) .11 ^1. -25- A7 ___B7^_____ 五、發明説明(23 ) 間絕緣膜I 2之散熱孔62,形成在上述層間絕緣膜I 2 及上述散熱孔6 2表面之濕潤層6 3,以及,形成在上述 濕潤層6^ 3上之鋁或以鋁爲主要成分之合金構成之鋁膜 6 4,6 5 β (實驗例子) (1 )在第5圖及第6圖表示,爲了調査第2配線領 域L 2之由晶圓放出之氣體量(分壓)因有無脫氣製程而 產生之差異之實驗結果。 在第5圖及第6圖,橫軸表示,從形成鋁膜前之熱處 理(熱處理F )至第2鋁膜6 5之成膜後之處理之定時, 縱軸表示處理室內之殘留氣體之分壓。在第5圖及第6圖 ,以記號Α標示之線段表示,形成第2層間絕緣膜I 2後 經過脫氣過程時,記號B標示之線段表示,形成第2層間 絕緣膜I 2後未再經脫氣製程時。本實驗例之脫氣製程係 以氣壓0 . 2 7 P a ,溫度4 6 0 °C,時間1 2 0秒爲之
P 在各圖,橫軸之記號a及b表示在鋁膜之成膜前進行 之熱處理F (第1處理室)之定時,記號a表示將晶圓放 進第1處理室時,記號b表示藉燈光加熱以2 5 0 °C將晶 圓加熱6 0秒鐘時。第1處理室將氣壓設定在2 . 7X 1 0 0 6 P a。 記號c及d表示,晶圓之冷卻製程(第2處理室)之 定時,記號c表示將晶圓放進第2處理室時,記號d表示 本紙汰凡度这 W 屮 ( 核(210X297公釐) ' -26- ----------- 計先閱讀背而之:意事項再硪寫本頁) 訂 i:) f: A f: A7 _B7_ 五、發明説明(24 ) 將晶圓之溫度冷卻到2 0 °C時。第2處理室之氣壓設定在 〇 . 2 7 P a。而在測量分壓時,將處理室之氣壓降低到 2 · 7 x! 1 0 _ 6 P a。 記號e,f及g表示鋁膜之成膜製程(第3處理室) 之定時,記號e表示將晶圓放進第3處理室時’記號f表 示第1鋁膜剛完成成膜時’記號g表示剛完成第2鋁膜2 成膜時。第3處理室之氣壓設定在0 . 27Pa。而在測 量分壓時,將處理室之氣壓降低到2 . 7xl 0_6P a » 從第5圖及第6圖可以確認,由於在第2層間絕緣膜 I 2之成膜時,濕潤層6 3之成膜前進行脫氣處理,在其 後續之熱處理及鋁膜之成膜時,幾乎不會產生水及氮氣。 對此,未經過上述脫氣製程時,則在其後續之熱處理時, 特別是在記號b所示之熱處理F時,大量放出水及氮氣。 再者,在第1配線領域L1 ,也獲得大體上與第5圖 及第6圖所不結果相同之實驗結果。 (2 )爲了要檢査,鋁膜之成膜前有沒有晶圓之冷卻 製程,對鋁膜之成膜有什麼影響,進行實驗的結果獲得下 述瞭解。再者,鋁膜之成膜係以散熱孔之縱橫比3,1 8 ,層間絕緣膜之厚度1 148nm之條件下爲之。 第8A圖表示,將晶圓從熱處理F之溫度1 2 0°C冷 卻到2 0°C後,進行鋁膜之成膜時,從晶圓截面之電子顯 微鏡照片取得之圖,第8 B圖表示,未將晶圓冷卻,以 1 2 0°C之溫度進行鋁膜之成膜時,從晶圓截面之電子顯 微鏡照片取得之圖。 本纸広反度这圯十K円々(('NS ) Λ4規铭(2丨Ο X 297公釐) (1A先閱讀背而之注意y項再功〈?!本打) 訂 -27- A 7 __B7__ 五、發明説明(25) 比較冷卻晶圓時之鋁膜之成膜後之基板’與未冷卻時 之基板,加以檢討之結果,冷卻時,如第8 A圖所示’第 1及第21鋁膜以極良好之情況埋入在散熱孔內’而未冷卻 時,設在晶圓上之層間絕緣膜中,在三成左右之散熱孔, 如第8 B圖所示,未能將鋁膜埋進到散熱孔之底部,致產 生微孔1 0 0 » 若有充分冷卻晶圓(參照第8 A圖),在第2層間絕 緣膜與鋁膜之間,確認存在有約2 0 nm之鈦,矽及氧之 無定形層,及A 1 3T i及A 1 T i之混合反應層。而因爲 此混合反應層幾乎完全不含水或氫氣等氣體化成分,因此 混合反應層係牢固密接形成在第1金屬配線層。其結果’ 散熱孔內之鋁膜(64 ’ 65)與第1金屬配線層40 ’ 係以低而穩定之接觸電阻連接在一起。 對此,未冷卻晶圓時(參照第8B圖),則不存在鈦 ,矽及氧之無定形層,而形成A 1 3 T i層。此反應層含有 很多之氣體化成分。其結果,形成第2鋁膜時會從反應層 放出氣體,成爲微孔之原因。而微孔則不僅會使散熱孔內 之鋁膜與第1金屬配線層之接觸電阻變大,又會發生電子 遷移及應力遷移,對半導體裝置之可靠性有重大之不良影 響。 (3 )第7圖係表示,藉照射絶之一次離子之二次離 子重量分析法(S I MS )之測量結果。第7圖係就第2 金屬配線層70之膜構造(T i N膜/A 1膜/T i膜) 求得之S I MS之資料。在第7圖’左側之縱軸以定量方 本紙乐又度这川中(('N'S)A4規柁(210X 297公釐) (誚先閱讀背而之注¾事項再•本頁) -H-I1
*1T -28 - 4\ l,') η .1 it; f: A 印 A7 _B7_ 五、發明説明(26 ) 式表示矽,氫氣,氮氣及氧氣,右側之縱軸則表示A 1膜 以外各層之二次離子強度。 從第1 7圖中可以確認,在A 1膜中,氫氣,氧氣及氮 氣係在背景位準,在S I M S之臨界檢測儂度以下,幾乎 是不會固溶。 在本發明,如上述,第1及第2鋁膜34,35與第 1及第2鋁膜64,6 5分別以良好狀態埋進接觸孔3 2 及散熱孔62之理由,可以有下列各項。 (a )由於進行脫氣製程,充分放出各層間絕緣膜 I 1 ,I 2,尤其是BPSG膜30及矽氧化膜60內所 含之水或氮氣等氣體,得以在後續之第1鋁膜3 4及第2 鋁膜3 5,或第1鋁膜6 4及第2鋁膜'6 5之成膜過程中 ,防止從BPSG膜30及阻擋層33,或矽氧化膜60 及濕潤層6 3放出氣體。其結果,可以提昇阻擋層3 3與 第1鋁膜3 4,以及濕潤層6 3與第1鋁膜6 4之密接性 ,能夠達成階躍涵蓋性(step coverage )良好之成膜。 (b )在第1鋁膜3 4與6 4之成膜時,將基板溫度 設定在2 0 0°C以下之較低溫,使其不放出含在B P S G 膜3 0及阻擋層3 3,以及矽氧化膜6 0及濕潤層6 3之 水分或氮氣,再加上述脫氣製程之效果,而得以提高第1 鋁膜3 4與阻擋層3 3之密接性,以及第1鋁膜6 4與濕 潤層6 3之密接性。 (C )而且,由於第1鋁膜3 4及6 4本身有在基板 溫度上昇時,抑制從下層發生氣體之功能,因此能夠以較 本紙张尺度迖朽中彳((’NS ) ΜΦΙ4 ( 2丨0>;297公釐) {"先閱讀背而之注意事項再楨寫本頁) *?τ -29- A7 ____ B7_ 五、發明説明(27 ) 高溫度進行後續之第2鋁膜3 5及6 5之成膜,使第2鋁 膜不良好之流動擴散》 如以I上所述,依據本發明時,因爲在鋁膜之濺射前, 至少有脫氣製程與冷卻製程,而更好是在同一處理室內連 續形成鋁膜,因此能夠以鋁或鋁合金塡埋0 . 2 //m前後 之接觸孔及散熱孔,而得提高可靠性及製成率。同時,構 成接觸部之鋁膜也不會有銅等之偏析或結晶粒之異常生長 ,在包含遷移等之可靠性亦十分良好。 再者,上述實施形態係就含有雙層之配線領域之半導 體裝置進行說明,但本發明當然可以適用在包含三層以上 之配線領域之半導體裝置,同時,不另是含有N頻道型 MO S元件之半導體裝置,也可以應用在含有P頻道型或 CMO S型元件等之各種元件之半導體裝置》 圖式之簡單說明 第1 A圖,第1 B圖及第1 C.圖係以模式方式按製程 順序表示本發明半導體裝置之製造方法之一個例子之截面 圖。 第2A圖及第2B邐係及模式方另按製程順序表示緊 接著第1C圖所示之製程進行之半導體裝置之製造方法之 一個例子之截面圖。 第3 A.圖係以模式方式表示本發明之實施形態所使用 硪射裝置之一個例子之圖,第3 B圖係表示平枱之一個例 子之平面圖。 本紙乐尺度试用十K K (行'=々(CTNS ) ( 210X297^·« ) n> B^la 1^1 m m i i I H (誚先閱讀背1δ之注4事項再功<巧本页) =·6 -30- 經"·部中央標準局Η工消费合作社印聚 A7 _B7五、發明説明(28 ) 第4圖係表示,使用第3 A圖所示濺射裝置控制基板 溫度時之時間與基板溫度之關係之圖。 第5圖係表示本發明半導體裝置之製造方法之處理定 時與處理室內之殘留氣體(水)之分壓之關係之圖。 第6圖係表示本發明半導體裝霞之製造方法之處理定 時與處理室內之殘留氣體(氮)之分壓之關係之圖。 第7圖係表示第2金屬配線層構造之SIMS之資料 之圖。 第8 A圖係表示冷卻晶圓時,將鋁成膜時之晶圓截面 之電子顯微鏡照片,第8 B圖係表示不冷卻晶圓,將鋁成 膜時之晶圓截面之電子顯微鏡照片》 符號說明 11 矽基板 12 場絕緣膜 13 閘極氧化膜 14 閘電極 15 低濃度雜質層 16 高濃度雜質層 17 側壁分隔膜 18-20 矽氧化膜 19 鈦矽化物層 30BPSG 膜 3 2 接觸孔 ("先閱讀背而之注意事項再填寫本頁)
本紙張尺度適用中國國家梂準(CNS ) 格(210Χ297公犮) -31 - A7五、發明説明(29 3 3 阻 擋 層 3 4 第 1 鋁 3 5 第 2 鋁 6 0 (6 0 a 6 2 散 熱 孔 6 3 濕 潤 層 6 4 第 1 鋁 6 5 第 2 鋁 I 1 第 1 層 I 2 第 2 層 L 1 第 1 層 L 2 第 2 層 ) 膜 膜 ,6 0 b ,6 c) 矽氧化膜 膜 膜 間絕緣膜 間絕緣膜 配線領域 配線領域 ("1間讀背而之注念事項再填寫本頁) Γ 裝· 丁 ·ν'β 經潢部中央5έ4*-局R工消於合作杜印裝 本纸張尺度適用中國國家標孪(CNS ) Λ4^格(210Χ 297公犮) -32 -

Claims (1)

  1. Μ請委員明示,本案修正後是否變更名實質内容 f V Α8 公舌,,h:- ?88 年,/月 >曰吏主 ___- 」 D8 六、申請專利範圍 第871 1 6449號專利申請案 中文申請專利範圍更正本 民國89年11月修正 1 .—種半導體裝置’係備有,包含元件之半導體基 板及多層配線領域之半導體裝置,其第2層以上之配線領 域之至少一層含有: 藉熱處理去除氣體化成分之層間絕緣膜; 形成在上述層間絕緣膜之散熱孔; 形成在上述層間絕緣膜及散熱孔之表面之濕潤層:以 及, 形成在上述濕潤層上之鋁,或以鋁爲主要成分之合金 構成之鋁膜。 2 ·如申請專利範圍第1項之半導體裝置, 上述濕潤層係由鈦或鉻所構成。 3 . —種半導體裝置之製造方法,係備有,包含元件 之半導體基板及多層配線領域之半導體裝置之製造方法, 其第2層以上之配線領域之至少一層含有下列製程(a ) 至製程(f )': (a )在形成於基體上之層間絕緣膜形成散熱孔之製 程; (b) 在減壓下,以300〜550t之基板溫度進 行熱處理,藉此去除含在上述層間絕緣膜之氣體化成分之 脫氣製程; (c) 在上述層間絕緣膜及上述散熱孔之表面形成濕 本紙張尺度適用中國國家標準(CNS )从規《格(210X297公釐)_ 1 · -------^--1^.-- (請先閲讀背面之注意事項4*本頁) 訂 線 經濟部智慧时4工消費合作社印製 β7ΐ 16 449 !! D8 六、申請專利範圍 ---------i裝— (請先Μ讀背面之注意ί項再填寫本頁) 1 ·—種半導體裝置,係備有,包含元件之半導體基 板及多層配線領域之半導體裝置,其第2層以上之配線領 域之至少一層含有: 藉熱處理去除氣體化成分之層間絕緣膜; 形成在上述層間絕緣膜之散熱孔; 形成..在上述層間絕緣膜及散熱孔之表面之濕潤層;以 及, 形成在上述濕潤層上之鋁,或以鋁爲主^成分之合金 構成之鋁膜。 2.如申請專利範圍第1項之半導體裝置, 上述濕潤層係由鈦或锆所構成。 3 · —種半導體裝置之製造方法,係備有,包含元件 之半導體基板及多層配線領域之半導體裝置之製造方法, 其第2層以上之配線領域之至少一層含有下列製程(a ) 至製程(f ): (a )在形成於基體上之層間絕緣膜形成散熱孔之製 程; 經濟部中央標準局負工消費合作社印製 (b )在減壓下,以3 0 0〜5 5 0 °C之基板溫度進 行熱處理,藉此去除含在上述層間絕緣膜之氣體化成分之 脫氣製程; (c) 在上述層間絕緣膜及上述散熱孔之表面形成濕 潤層之製程; (d) 將基板溫度冷卻到1〇〇 °C以下之製程: (e )在上述濕潤層上,以2 0 0 °C以下之溫度形成 -33- 本紙張尺度適用中國國家標準(CNS ) Α4规格(210X297公釐)
    六、申請專利範圍 ’銘或以鋁爲主要成分之合金構成之第1鋁膜之製程;以 及, (ί )在上述第1鋁膜上,以3 0 0 t以下之溫度形 成’鋁或以鋁爲主要成分之合金構成之第2鋁膜之製程》 4 .如申請專利範圍第3項之半導體裝置之製造方法 ’上述製程(e )及(ί )之鋁膜之形成,係以濺射法爲 之。 5. 如申請專利範圍第3項之半導體裝置之製造方法 ,上述製程(e )及(f )之鋁膜之形成,係在同一處理 室內連續進行。 6. 如申請專利範圍第3項之半導體裝置之^製造方法 ,上述製程(d) , (e)及(f),係在備有保持減壓 狀態之多數處理室之同一裝置內連續進行。 7 .如申請專利範圍第3項乏半導體裝置之製造方法 ,上述製程(e )及(f )之鋁膜之形成,係藉控制載置 上述基板之平枱之溫度而達成。 -------J 秀—— (請先閱讀背面之注意事項再填寫本頁 ί Γ 經濟部中夹揉率局負工消費合作社印«. 1[紙張ΛΑ逋用中國國家揲率(CNS ) A4«^· ( 210Χ297公羡).34 - Μ請委員明示,本案修正後是否變更名實質内容 f V Α8 公舌,,h:- ?88 年,/月 >曰吏主 ___- 」 D8 六、申請專利範圍 第871 1 6449號專利申請案 中文申請專利範圍更正本 民國89年11月修正 1 .—種半導體裝置’係備有,包含元件之半導體基 板及多層配線領域之半導體裝置,其第2層以上之配線領 域之至少一層含有: 藉熱處理去除氣體化成分之層間絕緣膜; 形成在上述層間絕緣膜之散熱孔; 形成在上述層間絕緣膜及散熱孔之表面之濕潤層:以 及, 形成在上述濕潤層上之鋁,或以鋁爲主要成分之合金 構成之鋁膜。 2 ·如申請專利範圍第1項之半導體裝置, 上述濕潤層係由鈦或鉻所構成。 3 . —種半導體裝置之製造方法,係備有,包含元件 之半導體基板及多層配線領域之半導體裝置之製造方法, 其第2層以上之配線領域之至少一層含有下列製程(a ) 至製程(f )': (a )在形成於基體上之層間絕緣膜形成散熱孔之製 程; (b) 在減壓下,以300〜550t之基板溫度進 行熱處理,藉此去除含在上述層間絕緣膜之氣體化成分之 脫氣製程; (c) 在上述層間絕緣膜及上述散熱孔之表面形成濕 本紙張尺度適用中國國家標準(CNS )从規《格(210X297公釐)_ 1 · -------^--1^.-- (請先閲讀背面之注意事項4*本頁) 訂 線 經濟部智慧时4工消費合作社印製 A8 B8 C8 D8 經濟部智慧財4局員工消費合作社印製 六、申請專利範圍 潤層之製程; (d )將基板溫度冷卻到1 0 0°C以下之製程; (e )在上述濕潤層上,以2 0 0 °C以下之溫度形鹿 @或以鋁爲主要成分之合金構成之第1鋁膜之製程;以及 (f )在上述第1鋁膜上,以300°C以Ji之溫度形 成鋁或以鋁爲主要成分之合金構成之第2鋁膜之製程》 4 .如申請專利範圍第3項之半導體裝置之製造方法 ,上述製程(e )及(f )之鋁膜之形成,係以濺射法爲 之。 5 .如申請專利範圍第3項之半導體裝置之製造方法 ,上述製程(e )及(f )之鋁膜之形成,係在同一處理 室內連續進行。 6 .如申請專利範圍第3項之半導體裝置之製造方法 ,上述製程(d) ,(e)及(f),係在備有保持減壓 狀態之多數處理室之同一裝置內連續進行。 7 .如申請專利範圍第3項之半導體裝置之製造方法 ,上述製程(e )及(f )之鋁膜之形成,係藉控制載置 上述基板之平枱之溫度而達成。 請 先 聞 讀 背 之 注 t 本 頁 裝 訂 線 本紙伕尺度適用中國國家標準(CNS > A4規格(210X297公釐)-2 -------
TW087116449A 1997-10-02 1998-10-02 Semiconductor device and its manufacturing method TW393671B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP28603697 1997-10-02
JP6786898 1998-03-03
JP10272612A JP3033564B2 (ja) 1997-10-02 1998-09-09 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
TW393671B true TW393671B (en) 2000-06-11

Family

ID=27299563

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087116449A TW393671B (en) 1997-10-02 1998-10-02 Semiconductor device and its manufacturing method

Country Status (4)

Country Link
US (3) US6107182A (zh)
JP (1) JP3033564B2 (zh)
KR (1) KR100377672B1 (zh)
TW (1) TW393671B (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150653A (ja) * 1998-09-04 2000-05-30 Seiko Epson Corp 半導体装置の製造方法
JP3533968B2 (ja) 1998-12-22 2004-06-07 セイコーエプソン株式会社 半導体装置の製造方法
JP3277909B2 (ja) * 1999-02-08 2002-04-22 日本電気株式会社 半導体装置及びその製造方法
TW409356B (en) * 1999-03-11 2000-10-21 United Microelectronics Corp Manufacture method of inner connects
JP2001189381A (ja) 1999-12-27 2001-07-10 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3759367B2 (ja) * 2000-02-29 2006-03-22 沖電気工業株式会社 半導体装置およびその製造方法
JP3449333B2 (ja) * 2000-03-27 2003-09-22 セイコーエプソン株式会社 半導体装置の製造方法
JP3480416B2 (ja) 2000-03-27 2003-12-22 セイコーエプソン株式会社 半導体装置
US6635564B1 (en) * 2000-09-14 2003-10-21 Infineon Technologies Ag Semiconductor structure and method of fabrication including forming aluminum columns
US6373135B1 (en) * 2000-09-14 2002-04-16 Infineon Technologies Ag Semiconductor structure and method of fabrication
JP2002118167A (ja) * 2000-10-06 2002-04-19 Nec Corp 半導体装置の製造方法
US6903028B2 (en) * 2002-11-29 2005-06-07 1St Silicon (Malaysia) Sdn Bhd Soft-landing etching method using doping level control
DE102004002464B4 (de) * 2004-01-16 2005-12-08 Infineon Technologies Ag Verfahren zum Füllen von Kontaktlöchern
JP2005235860A (ja) * 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
DE102004015865B4 (de) * 2004-03-31 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reinigen der Oberfläche eines Substrats
KR100602093B1 (ko) * 2004-07-26 2006-07-19 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
KR100602092B1 (ko) * 2004-07-26 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
KR100681266B1 (ko) * 2005-07-25 2007-02-09 삼성전자주식회사 가변 저항 구조물의 제조 방법 및 이를 이용한 상변화메모리 장치의 제조 방법
US7462560B2 (en) * 2005-08-11 2008-12-09 United Microelectronics Corp. Process of physical vapor depositing mirror layer with improved reflectivity
KR100780766B1 (ko) * 2005-12-29 2007-11-30 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
US8592977B2 (en) * 2006-06-28 2013-11-26 Megit Acquisition Corp. Integrated circuit (IC) chip and method for fabricating the same
TW200814156A (en) * 2006-07-21 2008-03-16 Toshiba Kk Method for manufacturing semiconductor device and semiconductor device
US9670574B2 (en) 2011-02-16 2017-06-06 Spts Technologies Limited Methods of depositing aluminium layers
GB201102673D0 (en) * 2011-02-16 2011-03-30 Spp Process Technology Systems Uk Ltd Methods of depositing aluminium layers
JP7118427B2 (ja) 2016-06-20 2022-08-16 スージョウ レキン セミコンダクター カンパニー リミテッド 半導体素子
US10340415B2 (en) * 2016-09-01 2019-07-02 Lg Innotek Co., Ltd. Semiconductor device and semiconductor device package including the same
JP7178712B2 (ja) 2016-09-10 2022-11-28 スージョウ レキン セミコンダクター カンパニー リミテッド 半導体素子
JP7403797B2 (ja) 2016-09-13 2023-12-25 スージョウ レキン セミコンダクター カンパニー リミテッド 半導体素子およびこれを含む半導体素子パッケージ
US10903395B2 (en) 2016-11-24 2021-01-26 Lg Innotek Co., Ltd. Semiconductor device having varying concentrations of aluminum
KR102390828B1 (ko) 2017-08-14 2022-04-26 쑤저우 레킨 세미컨덕터 컴퍼니 리미티드 반도체 소자
JP7030626B2 (ja) * 2018-06-21 2022-03-07 三菱電機株式会社 アルミニウム膜の形成方法
JP7414730B2 (ja) * 2018-11-20 2024-01-16 ソニーセミコンダクタソリューションズ株式会社 表示装置および表示装置の製造方法、並びに、電子機器
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0810693B2 (ja) 1987-09-17 1996-01-31 東京エレクトロン株式会社 半導体装置の製造方法
JP2508288B2 (ja) * 1989-08-30 1996-06-19 三菱電機株式会社 半導体記憶装置
JPH0464222A (ja) * 1990-07-04 1992-02-28 Fujitsu Ltd 半導体装置の製造方法
JPH065715A (ja) * 1992-06-18 1994-01-14 Sony Corp 配線層の形成方法
US6001461A (en) * 1992-08-27 1999-12-14 Kabushiki Kaisha Toshiba Electronic parts and manufacturing method thereof
JPH0685187A (ja) * 1992-09-07 1994-03-25 Nec Corp 半導体記憶装置
JP2897631B2 (ja) * 1993-12-28 1999-05-31 日本電気株式会社 半導体集積回路装置および製造方法
JPH08203896A (ja) * 1995-01-26 1996-08-09 Mitsubishi Electric Corp 半導体装置の製造方法
JPH09102541A (ja) * 1995-10-05 1997-04-15 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5700718A (en) * 1996-02-05 1997-12-23 Micron Technology, Inc. Method for increased metal interconnect reliability in situ formation of titanium aluminide
US6309971B1 (en) * 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
JP2962250B2 (ja) * 1996-11-12 1999-10-12 日本電気株式会社 半導体記憶装置の製造方法
JPH10163317A (ja) * 1996-11-28 1998-06-19 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6139698A (en) * 1997-02-03 2000-10-31 Applied Materials, Inc. Method and apparatus for reducing the first wafer effect
US6077782A (en) * 1997-02-28 2000-06-20 Texas Instruments Incorporated Method to improve the texture of aluminum metallization
JPH1117004A (ja) 1997-06-19 1999-01-22 Sony Corp 半導体装置およびその製造方法
JP3456391B2 (ja) * 1997-07-03 2003-10-14 セイコーエプソン株式会社 半導体装置の製造方法
TW408359B (en) * 1997-08-29 2000-10-11 Seiko Epson Corp Semiconductor device and manufacture thereof
JPH11117004A (ja) * 1997-10-14 1999-04-27 Olympus Optical Co Ltd 金属粉末焼結体の製造方法
JP3436132B2 (ja) * 1998-05-13 2003-08-11 セイコーエプソン株式会社 半導体装置

Also Published As

Publication number Publication date
JP3033564B2 (ja) 2000-04-17
US20040048460A1 (en) 2004-03-11
US6614119B1 (en) 2003-09-02
US6107182A (en) 2000-08-22
JPH11317453A (ja) 1999-11-16
KR19990066783A (ko) 1999-08-16
KR100377672B1 (ko) 2003-06-19

Similar Documents

Publication Publication Date Title
TW393671B (en) Semiconductor device and its manufacturing method
TW312817B (zh)
TWI803507B (zh) 形成用於直通穿孔應用的阻障層之方法
TW403933B (en) Semiconductor device and producing method therefor
KR101532814B1 (ko) 루테늄 금속캡층을 형성하는 반도체 디바이스 형성 방법
JP3606095B2 (ja) 半導体装置の製造方法
JP2009010434A (ja) 低温で基板のステップカバレージを改良する方法及び装置
TW201237941A (en) Abatement and strip process chamber in a dual loadlock configuration
JP2010272898A (ja) 半導体装置の製造方法
TW419711B (en) Semiconductor device and its manufacture
JP5082411B2 (ja) 成膜方法
JP2006229207A (ja) 半導体装置の製造方法及び半導体装置
JP4924245B2 (ja) 半導体製造装置、半導体装置の製造方法及び記憶媒体
US8101513B2 (en) Manufacture method for semiconductor device using damascene method
TWI389255B (zh) 積體電路結構之製備方法
JP2004146516A (ja) 成膜方法
US6458703B2 (en) Method for manufacturing semiconductor devices with allevration of thermal stress generation in conductive coating
JP2008192835A (ja) 成膜方法,基板処理装置,および半導体装置
US20220328348A1 (en) Impurity Removal in Doped ALD Tantalum Nitride
JPH1116857A (ja) 成膜方法及び膜積層構造
KR100496716B1 (ko) 반도체장치및그제조방법
US6486555B2 (en) Semiconductor device having a contact structure using aluminum
JP2005340827A (ja) 多結晶シリコン薄膜構造体及びその製造方法、並びにそれを用いるtftの製造方法
JP3123553B2 (ja) 半導体装置
JP2006269894A (ja) 薄膜トランジスタの製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees