TW275136B - - Google Patents

Download PDF

Info

Publication number
TW275136B
TW275136B TW084108335A TW84108335A TW275136B TW 275136 B TW275136 B TW 275136B TW 084108335 A TW084108335 A TW 084108335A TW 84108335 A TW84108335 A TW 84108335A TW 275136 B TW275136 B TW 275136B
Authority
TW
Taiwan
Prior art keywords
layer
carbon
patent application
diamond
amorphous
Prior art date
Application number
TW084108335A
Other languages
English (en)
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Application granted granted Critical
Publication of TW275136B publication Critical patent/TW275136B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)

Description

經濟部中夬橾隼局員工消費合作.社印賢 275136 Β' 五、發明説明(1 ) 枝術領域 本發明係有關一種半導體裝置,包括辨石狀瑗作爲其結 構成份之一且作爲積體電路晶片上分隔一或多個導髏程度 用之絕緣體’該積體電路晶片例如場效應半導體管(FET) ,互補金屬氧化物半導體(CMOS)或兩極装置。可用於各 種半導體裝置之本發明絕緣體較習知主要由二氧化矽膜構 成之絕緣體有較低之介電常數。再者,本發明之絕緣體可 爲結構各向同性,其性質進一步降低位於絕緣半導禮装置 頂部之導體間之渦流電容及串音(crosstalk)變化。 本發明之絕緣體之低介電常數特別適用於極大型積體電 路(VLSI)或超大型積體電路(ULSI)應用中。據此,本發明 亦有關一種形成互連結構之方法及有關由前述方法製得之 ,互連結構。 本發明又有關一種對含有鑽石狀碳層之基材選擇性離子 姓刻該碳層之方法,上述方法可用於製造平面化多程度金 屬化半導體裝置,該等結構内亦可含有圖形。 習知技藝 非晶型碳(a-C)膜亦稱爲鉚石狀碳膜,由於其硬度因而 在半導體製造領域中因其潛在可作爲此種裝置之塗層而備 受矚目。對欲使用於晶片製程技術或於抗熱及機械環境中 之該等種類之膜,需要有蒿溫之高熱安定性。 蹲石狀碳膜係定義爲可含有微晶相之介穩非晶形材料。 鑽石狀碳膜具有S3.2之介電常數且進一步特徵Λ具有高 電阻、高耐磨性及化學惰性。 -4 - (請先閱請背面之注意事項再填艿本頁) ----{—裝---„-----訂-----^级---- 經濟部中央標準局貝工消費合作.社印製 275136 Λ 7 _ _ 五、發明説明(2 ) 鑽石狀碳膜係由鑽石層分化而得,因缵石狀層於非晶形 基質中可含有微晶相同時鑽石層爲具有大小達數十微米之 結晶之多晶材料之故。換言之,鑽石層不含有微晶相而鑕· 石狀碳·膜含有此微晶相》缵石狀碳膜首先由Aisenberg等 人所沉積而得,見J. Appl. Phys. 42, 2953 (1971)。由於此 沉積鑽石狀碳膜之最初研究,因而利用各種不同技術如 dc或rf電漿輔助之碳蒸敷,濺射及離子束濺射法。而且亦 可使用各種帶有碳之原料即固態、液態或氣態原料,以改 良鎅石狀碳膜之硬度及熱安定性。 半導體晶片係由裝置陣列所組成,其觸點係藉稱爲軌跡 之金屬接線圖形所互連。在VLSI晶片中,該等金屬囷形 爲多層且係由絕緣材料層所分隔;金屬接線圖形間之程度 '間觸點係由通孔(through-holes)製得,其係經由蚀刻絕緣 材料層而製得。典型晶片設計包含一或多個接線程度,絕 緣材料使用於接線程度之間以隔離諸程度。就電路成本及 改免性能增加之需求而言,.仍然需要一種改良半導禮裝置 之晶片設計之製造方法。 於VLSI晶片中,絕緣材料一般爲具有介電常數約39至 約4.1之二氧化夺。爲了進一歩降低互連電容,已提出並 證明一種具較低介電常數(如2.9-3.4)之聚亞醢胺膜。但某 些聚亞喊胺膜具有結構各向異性,其反映於其介電常數之 各向異性。而且,由聚亞釀胺膜構成之絕緣體經常具有不 同於其不同平面介電常數之平面介電常數,即聚亞醯胺膜 又·本質爲各向異性。各種聚亞嬝胺膜之此各向異性特徵導 -5 - 張尺度_巾_家料(CNS ) μ規格(2丨(>.,:2()7公孽) ------ 請先閱讀背面之注意事項再填巧本頁) 裝 訂 經濟部中央標Φ-局貝工消費合作杜印^ Λ 7 ------ - Β7 五、發明説明(3 ) ^ 致半導體晶片金屬接線®形間之較大相抗電容及串音。爲 了降低半導體晶片之相抗電容及串音,目前發展出—種具 有低介電常數且在所有方向皆均勾(即各向同之 緣材料。 ^ 不管目前使用於本技藝中用以分隔半導體裝置之接線程 度义絕緣材料,仍持續需要提供一種爲硬質且具有在所有 万向皆均勻之相當低介電常數之可用於半導體装置之絕緣 材料。此種帶有均句及低介電常數之硬質絕緣材料將具有 高市場性且特別可用於製造各種半導體裝置。 在製造半導體裝置領域中,矽晶圓係以經si〇2塗復之電 路軌跡及墊片(一般爲鋁-銅合金)所金屬化。此方法導致 最终可使電路軌跡及墊片損壞之不規則地形圖或絕緣作用 ,,因而爲了程度對程度地精確排列該半導體裝置,需要達 到平滑之地形圖而不損害電路軌跡或墊片。 提供此種平滑地形圖半導體裝置之一方法爲使用以拋光 漿料之蝕刻止件而使半導體装置表面平面化,蝕刻止件及 抛光漿料爲本技藝眾所週知且已成功利用者。 例如Bayer等人之U.S.專利第4,671,852號描述使用化-機 拋光與藉低壓化學蒸敷法(LPCVD)在700°C沉積之Si3N4 包復之組合來移除所謂"烏頭"之不期望之Si02突出部。
Bayer等之方法與抛光墊片之選擇及抛光溶液化學性有關 〇
Bayer等人之U.S.專利第4,S>44,836號描述一種欲與si3N4 蝕刻止件層一起使用之化-機抛光漿料,前述使用之水基 -6 - 本纸诙尺度A丨η中阐國家標隼(CNS ) Λ4規格(:M〇 < 2们公锋) (請先閲讀背面之注意事項再填寫本頁) .裝· 訂 ^75136 經濟·部中央標隼局員工消費合作杜印裝 五、發明説明(4 ) ~~ 氧化鋁漿料已發現缺乏AlCu·^%之蝕刻速率比。 並非所有半導體結構在高溫下如7〇〇乇下加工均可相容 ,例如,在具有電路之晶圓上之多程度互連系統中,在或 低於約4〇(TC下需維持所有加工步驟以避免金屬擴散至底 層裝置;在互連加工可相容溫度即在約325。(:下沉積之氮 化矽證明具不足硬度而在"線路尾端,,(Β Ε ο L)互連製程中 有效作爲蝕刻止件之功能。比Si〇2硬之氧化鋁Al2〇3證明 比Si〇2有較快速率之拋光,假設係甴於與拋光之化學反應 ,使其成爲無效之蝕刻止件材料。 Jaso等人之共同讓與之u.s.專利第5,246,884號使用習知 讀·石或續·石狀碳材料作爲製造平面化金屬化半導嫌晶片之 蚀刻止件’特定言之’此參考文獻提供一種使半導體裝置 平面化之方法,其包括之步驟爲(&)提供一平面基材,其 上沉積有地形圈形;(b)以第一層絕緣材料塗覆整個基材 及地形圖形;(c)以包括正形鑽石或鑽石狀碳材料之第二 層塗覆該第一層,其中鑽石或蹐石狀碳係藉CVD或濺射 在約7 5至約3 5 0 °C之溫度範固内沉積;(d )於漿料中以抛 光墊進行化-機拋光,使得在地形圈形面上之材料層以比 在平面基材上之材料更快之速率移除;及實質上達到 整個平面度時停止該方法。 不管現階段之技藝,仍然需要發展在損壞半導體裝置前 終止該蝕刻製程之適宜蝕刻堵塞。 發明概述 丰發明係有關改良之半導體裝置,其含有分隔積體電路 -7 - 本紙張尺度適用中國阀家標準() Λ4現格(2I0〆]97公绛) (請先聞讀背面之注意事項再填寫本頁) .裝 、-0 線 經濟部中央榫隼扃員工消费合作社印裝 .A 7 一-—_______ 五、發明説明(5 ) 晶片上装置之-或多個導電程度之絕緣體。本發明又提供 —種製造含有一或多個本發明之程度間絕緣體之互連結構 之方法。 提出比習知絕緣體有明顯改良,特別是有關具有較低介 電常數之本發明絕緣體包括可用以取代二氧化參或聚合膜 作爲用於FET及CMOS應用之尾端觸點之低介電常數程度 間絕緣體之鑽石狀碳材料。使用於本發明之鑽石狀碳材料 係選自氫化非晶形碳,非晶形碳,非晶形銹石,氟化氫化 非晶形碳,氟化非晶形破及氟化非晶形讚石a 由鑽石狀破材料構成之本發明絕緣體不具有結構各向異性 且特徵爲具有高電阻’高讨磨性且爲化學情性者。而且, 視本發明所用沉積條件而定,該絕緣體將具有可與由二氧 '化矽或聚合物膜構成之絕緣體相較之電流電壓(IV)特徵。 因而,由於前述性質,由绩石狀碳材料構成之本發明絕緣 Μ與由二氧化梦或聚合物膜構成之習知程度間絕緣键相較 ,具有較小之相抗電容及降低之_音。 本發明又有關一種經由反應性離子银刻(RIE)法及/或_ 化-機(chem-mech)法,使VLSI或ULSI半導體裝置之非晶 形破或竣石層選擇性蚀刻以提供依其用途而具有囷形之平 面化互連之方法。依據本發明之此目的,以Si摻雜之辨石 狀碳層可作爲02 RIE止件及CF4 RIE止件層及/或化_機止 件層而用於VLSI或ULSI接線系統,特別是在以si〇,爲主 爲以聚合物爲主之BEOL應用中作爲蝕刻止件層或抛光止 件.0 -a - 悵尺度適用中闺闺家螵準(C’NS ) Λ4現格(21()/2()7公釐) " ' ' (請电閱讀背面之注意事項再填‘本頁) .裝. 訂 線 經濟部中央標隼局員工消費合作社印款 275136 五、發明説明(3 ) 需注意本發明中使用作爲蝕刻止件或拋光層之鑽石狀碳 材料不同於Jaso等人所使用之鉼石狀碳材料,係因該參考 文獻未以Si捧雜該請石狀碳材料。需強調以si摻雜之雜 石狀碳材料在氧電漿中之蝕刻速率比Jaso等人所揭示之雜 石狀.碳材料慢,因而可能在損壞半導體裝置前,使用本發 明之挣雜碎之婿石狀竣材料有效地停止蚀刻或抛光製程。 圖式簡單敛述 圖1爲含有蹐石狀破層作爲分隔半導體裝置兩個程度絕 緣體材料之半導髏裝置截面圖。 圖2爲CMOS裝置之典型實例,該閘極、排接及源極區 域選擇性地連接至本發明所述之接線。 圖3爲圖2所示之CMOS結構之場效應半導體管之截面圈 ^,其含有鑽石狀碳膜作爲第一及第二接線介電層。此爲圖 2所示結構之部份如何藉本發明接綵結構接觸之實例。 圖4爲含有銹石狀碳程度間及程度内介電層之本發明 ULSI互連系統之截面圖。 圖5爲包括電漿沉積之纜石狀碳膜作爲cf4或〇2 RIE止 件層之ULSI接線系統之截面圈。 較佳具體例之敘述 本發明係有關半導體裝置,其含有至少一個用以分隔積 體電路晶片上一或多個導體程度之絕緣體層作爲其結構之 一部份。本發明又提供一種包括至少一個上述絕緣體之互 連結構,及一種在適宜基材上形成亙連結構之方法。 依據本發明第一目的,本發明之絕緣體包括(a)具有含 冬 本紙張尺度則,㈣时料(GNS )Λ4祕(公聲) —- --:---r---S —裝--------訂-----h 線 (請先閱讀背而之;!意事項、再填巧大*1 ) 經濟部中央標华局Μ工消費合作社印鉍 Λ 7 __ W_ __ 五、發明説明(7 ) 曝露之第一金屬層之上表面之基材;(b)在該基村之該上 表面上形成之鋒石狀碳絕緣體層;及(c)在該絕緣體上繪 成圖形而形成複數個導體之第二金屬層。 依據本發明之另一目的,使用於積體半導體裝置上之互 連結構包括(a)具有含曝露之第一金屬區及選自由氧化矽 及鑽石狀碳所組成之組群之曝露之第二絕緣區之上表面之 基材;(b)在基材之上表面上形成之第一層缵石狀碳材料 ;(c)在缵石狀碳材料上端形成之飧圖形成複數個導體之 第二層金屬層;及(d)經由電氣連接經選擇之第一區至一 或多個複數個導體之金屬饋入通道。 上述定義之積體半導體裝置示於圏1。積體半導體装置 10包括具有上表面14之基材12 ’該上表面14包括曝露之 '第一金屬區16及曝露第二絕緣區is。接著在基材之上表 面14上沉積一層鑽石狀碳材料2〇,在整個曝露之第一區 16上形成第一金屬層22並使用金屬積入通道24使曝露之 第一金屬區輿第二金屬層22接觸。 使用本發明之適宜金屬包含Ai,Cu,W,Ti及Ta,該 等金屬之合金亦可使用於本發明。形成基材之曝露第一區 及第二金屬層之金屬,即A1,Cu , w,Ti,丁汪或其合金 係藉本技藝悉知之技術沉積^例如金屬可使用濺射或化學 蒸敷技術沉積。 使用於本發明中作爲絕緣體材料之鑽石狀碳材料係選自 氩化非晶形碳’非晶形鳞石,氟化氫化非晶形碳,氟化非 晶形碳及氟化非晶形糈石。 (請先閱讀背面之注意事項再填寫本頁) 裝 訂 線 -10 - 木紙張尺度遴用中阈阐家標準(CNS ) Λ4規格 ( 2l^72g7公席) 經濟部中央標隼局,負工消費合作社印製 Λ7 ___B7五、發明説明(8 ) 鑽石狀碳材料係藉本技藝悉知之技術沉積。適宜之沉積 技術包含電漿輔助之化學蒸敷法(PACVD),濺射法,離子 束沉積法,雷射燒蝕法及其類似法。該等沉積技術中,以 蟒石狀碳材料之PACVD較佳。 使用於本發明用以沉積趱石狀碳材料之反應條件參數及 裝置述於GrUl等人之"躋石狀碳:製備、性質及應用,,,IBM J. Res. Develop.,Μ (1990) 849 或 Grill 等人之•,以 dc PACVD沉積之鳞石狀碳",鉼石膜及技術,i(1992)219 ,其内容併於本文供參考。 當使用氟化讚石狀礙材料時,以至少1原子%之氟原子 共價結合至鑽石狀碳材料之碳原子上爲最佳。更妤,約 1 0至約4 0原子。/。氟原子共價結合至銹石狀碳材料之碳原 ** 子上。 需注意非氟化或氟化之蜻石狀破材料可再以矽(s i)或鍺 (Ge)摻雜。依據本發明此具體例,非氟化或氟化蹐石狀 破材料係以力原子0/〇之s i或错G e摻雜,其中X在自約0至 約2 5原子%之範固。但若氟化鑽石狀碳材料以約5至約i 5 原子% S i或错G e摻雜則特佳。 圖2係在基材32中具有植入排極及源極區域35及藉本發 明所述接線接觸之多矽閘極36之典型CMOS結構。 圖3係含有依據本發明所製備之用以分隔積體電路晶片 上一或多涸導體程度之絕緣體之場效應半導體管(FET)之 截面圈,顯示接觸圈2之源極區城之實例。特定言之,圖 3烏FET裝置30,其包括具有植入源極及排極區域34, -11 - (請先閱讀背面之注意事項再填寫本頁) .裝 訂 線 衣紙悵尺度適用个國國'多標隼(CNS ) Λ4規格(ϋ丨公痒) Λ 7 Β7 275136 五、發明説明(9 ) 多晶矽閘極區域36,,及凹面氧化物區域38之基材32 »該 FET裝置30又包括CVD w平溝機40,二氧化碎或氮化碎 純化層41,Cu,Α1或W互連部42及43,Ti,Ta,W或 其化合物或合金金屬襯裡44,填以W,A1或Cu之程度間 通道4 3,鑽石狀碳材料46,及鳞石狀碳材料、二氧化矽 、氮化矽、氮化硼或其化合物之加蓋層47。FET裝置30 之鑽石狀碳材料46可作爲分隔FET裝置之觸點程度42及 43之絕緣體。 由鑽石狀碳材料構成之本發明絕緣體在所有方向具有均 句之低介電常數,因而本發明之絕緣體比習知技藝絕緣體 有更明顯改良。 依據本發明另一目的,係提供一種在其上表面具有導電 r區域之基材上形成互連結構之方法。由本發明方法製備之 此互連結構示於圖3。 本發明在其上表面具有導電區域之基材表面上形成互連 結構之方法包括:(a)在基材之上表面形成第—層鳞石狀 碳材料層’該材料係選自氫化非晶形碳,非晶形碳,非晶 形罐石,及氟化竣石狀竣材料者,其中該讀·石狀碳材料係 以v原子〇/〇之Si或Ge摻雜;(b)在該第一層上形成第二層 蹐石狀碳材料層該材料係選自氫化非晶形碳,非晶形碳, 非晶形讀·石,及氟化辨石狀碳,其中該靖石狀礙材料係以 w原子%之Si或Ge摻雜;(c)在該第二層上形成第三層缵 石狀碳材料層,該材料係選自氩化非晶形竣,.非晶形破, 非晶形鑽石及氟化鑽石狀碳,其中該贛石狀碳材料係以χ -12 - 本紙烺尺度適用中國國家標準(CNS ) Λ4現格(2I0X 2们公筚) (請先閲讀背面之注意事項再填寫本頁) .裝 訂 經濟部中央標準局只工消費合作杜印製 Λ 7 Β7 五、發明説明(ΊΟ ) 原子%之S丨或Ge換雜;((1)在該第三層上形成第四層鑽石 狀碳材料層,該材料係選自氧化非晶形碳,非晶形碳,笄 晶形鑽石,及氟化鑽石狀碳,其中該鑽石狀碳材料係以y 原子%之Si或Ge接雜;“)在該第四層上形成第五層蹐石 狀碳材料層,該材科係選自氣化非晶形碳,非晶形碳,非 晶形辨石及氟化雄石狀碳,其中該蹐石狀碳材料係以z原 子%之Si或Ge換雜;(f)在該整個第五層上形成具有對上 述經選擇之該導電區域有開孔之第一面罩;(g)經由該第 一面罩及該第二至第五層飯刻;移除該第一面軍; 在該整個第五漘上形成具有使該導電區域之經選擇者互連 之互連圈形之第二面軍;(j)經由該第二面軍及該第四及 第五層姓刻;及(k)以金屬填入該第二至第五層及該第四 至第五廣之開孔中。依據本發明上述方法,V,W,X,y 及Z可爲相同或不同且在約〇至約25之範圍,更好,V,w ,X,y及z係在約5至約1 5之範圍。 需注意本發明亦企圖以氧化矽、氮化矽或一般用於此用 途之其他介電材料取代一或多個(但非全部)前述鑀石狀破 層。 前述包括糈石狀碳材料之第一至第五層係藉前述沉積技 術沉積至基材表面上,用以沉積包括蹐石狀碳材料之第一 至第五層之較佳方式係p AC VD法。 該等層之PACVD係使用前述相同條件進行。本發明較 佳具雜例爲第一至第五層係由使用環己烷沉積之鑽石狀碳 所搆成。由於此種材料具有低於3.2之介電常數且導致在 -13 - 本纸张尺度崎用中®國家標隼(CNs ) Λ4現格(210 / 2()7公牵) (請先閱讀背面之注意事項再填“3本頁) ,νβ τ 經濟部中央橾隼局Μ工消費合作社印裝 經濟部中央標準局貝工消费合作社印装 275136 Λ 7 ---- Β7五、發明説明(”) 性質上爲各向同性之材料,因此使用此種材料特別重要。 本發明積雜結構之前五層之厚度可視用途而異。 使用於本發明之面罩包含本技藝—般使用之光軍。依據 本發明之方法’特佳爲在第五層上形成之第一面罩具有存 在於基材上之至少—個導電區域之開孔。據此,本發明方 法較佳具趙例爲在第五層上形成之第二面罩其上具有互連 圖形’此互連圖形係使用於本發明以使結構之導電區域彼 此互連。 面罩與沉積層之曝露區之蝕刻係使用本技藝悉知之反應 性離子蝕刻製程藉〇2電漿處理而完成。蝕刻亦可使用 CF4電漿完成。此蝕刻製程導致本發明互連結構之第二至 第五層及第四與第五層中形成開孔。 ,在本發明互連結構中形成之該等開孔以選自Al,Cu, Ta,Ti,Nb,W及Cr之金屬塡滿,亦可使用上述金屬之 化合物或合金。若使用該等金屬之一之化合物,較好該化 合物爲前述金屬之一之氮化物或矽化物/氮化物。 需注意形成本發明互連結構之本方法又包含金屬及第五 層之化-機拋光步驟。化-機抛光爲本技藝悉知之用以使半 導體裝置表面平面化之技術《此種方法例如述於Jas〇等 人之U.S·專利第5,246,884號,其内容併於本文供參考 〇 依據本發明之另一目的,係提供一種用於積艘電路晶片 上之互連結構。特定言之,本發明之互連結構包括:(a) 异有第一導電區域之上表面之基材;(b)第一層鑽石狀磙 -14 - (锖先閲讀背面之注意事項再填寫本頁) .裝 、1Τ 線 本紙倀尺度H1’!,闽阈家標準(CNS ) Λ4規格ί 211) < 21)7公帑) 經濟部4-央標準局員工消費合作社印製 B7 五、發明説明P2 ) ' ~ 材料層’其係選自氫化非晶形碳’非晶形碳,非晶形靖_石 及氟化銹石狀碳,其中該鑽石狀碳材料以▽原子%以或(}6接 雜;及(C)第二層鑽石狀碳材料層,其係選自氫化非晶形 碳,非晶形碳,非晶形鑽石及氟化鑽石狀碳,其中該靖石 狀碳材料以评原子%8丨或Ge摻雜;其中v&w可爲相同戈 不同且自約0至約2 5,更好v係自約5至約1 5及w係自約2 至約15。而且,本發明互連結構之第二層具有其中形成 之第一金屬之第一互連囷形且第一及第二層有使經選擇之 第一導電區域與第一互連圖形互連之第二金屬間柱。 存在於本發明互連結構中之第一及第二金屬層係選自幻 ,Cu及W之金屬,前述金屬之合金亦可用於本發明。 據此,本發明互連結構又可包括第三金屬層,該層係位 ,於其上具有第一互連圖形之第一層側壁與第一金屬之間。 構成該第三金屬層之金屬係選自Al,Cu,W及其合金。 依據本發明乏另一目的,係提供一種選擇性蝕刻蜻石狀 碳材料之方法。特定言之,本發明方法包括(a)選择具有 上表面之基材;(b)在該基材之該上表面上形成第—層以 v原予。/〇Si或Ge摻雜之鑽石狀碌材料層;(c)在第一層上 形成第二層維石狀碳材料層;(d)在該第二層上形成囷形 廣;(Ο導入含氧之氣體;(f)經由圖形層以反應性離子姓 刻第二層曝露之處;及(g)在蝕刻通過第一層之前终止該 反應性離予蝕刻步裸。本發明中,V係自約〇丨至約2 5 ; 更好v係自約5至約1 5。 界以沉積該鑽石狀碳材料之設備、材料及條件已於前文 -15 - 本纸張尺度f丨7^關<料(CNS ) ,、4祕(:⑴.07公趁)~ ~--- (诗先閱讀背面之注意事項再填寫本頁) 裝. 線 經濟部中央樣準局員工消費合作社印S. B7 _ 五、發明説明(13 ) 述及。 需強調的是此以Si或Ge摻雜之第一層鑽石狀碳層提供 對〇 2電漿之蝕刻抗性,其仍大於未使用此種材料之習知 技藝之蝕刻止件。而且,習知技藝所述之銹石狀碳膜具有 於某些用途中不令人滿意之高蝕刻逮率。爲了降低蝕刻速 率及改良作爲蝕刻止件或抛光止件層之鑽石狀碳性能,本 發明中鑽石狀碳係以s i掺雜。 使用〇2之反應性離子蚀刻係使用本技藝悉知之技術達 成。例如’ 0 2灰化可藉使用約〇 . 1呈約2 w/cm2之功率密 度在約1至約1000毫托耳(mTorr)之墨力下用以移除該第 二層氩化碳層,使用該等條件可得約5至約1000 nm/min 之移除速率β , 圖4爲依據本發明方法製備之ULSI互連結構之截面囷。 此ULSI互連結構50包括基材52,Cu互連及間柱54,Ta 襯裡56,鑽石狀碳位準間及位準内介電層58,及Si摻雜 之鑽石狀碳RIE止件及屏障層60。 本發明亦提供一種於Si02中形成圖形之方法,該方法包括 下列步驟: (a) 選擇具有上表面之基材; (b) 在該基材上形成蹐石狀碳材料層; (c) 在該缵石狀碳材料層上形成Si〇2廣;, (d) 在該Si02層上形成圖形層; (e) 導入含氟之氣體如CF4 ; (f) 經由該圈形層反應性離子蝕刻該si〇2層曝露之處; -16 - 本錄尺度適财酬家料(CNS ) Λ4規格(21(),/2公慶) " ' (請先閱讀背面之注意事項再填寫本頁) •裝. 、-° 線 經濟部中央標準局負工消費合作社印製 忿75136 Μ _ _Β7 五、發明説明(14 ) 一 及 (g)在蝕刻通過該材料層(即鑽石狀碳層)之前終止該反 應性離子蝕刻步驟。 用以於基材上沉積鑽石狀碳膜之條件已於前文述及。 Si〇2係使用本技藝悉知之技術沉積。例如於本發明中, Si〇2層係使用CVD技術沉積。使用含氟之氣禮如匚]?4之 反應性離子蝕刻亦使用本技藝悉知之技術進行。 圖5爲ULSI接線系統70之截面圏,其包括基材72,作 爲蚀刻止件層74之鑽石狀碳膜,j§i02或聚合物層76,及 埋於Si〇2或聚合物層76中之Al,W或Cu互連部或間柱78 。此ULSI接線系統當使用Cu互接部或間柱78時亦包含 Ta或TaN襯裏80。前述本發明方法可用以提供圖5所述 '之上述平面化ULSI接線系統。 如前文所述,於半導體裝置中使用蹐石狀碳材料,由於 此材料可降低裝置之相技電容及串音,因此於本技藝中爲 先進者。而且,绩石狀竣材料具有在所有方向爲均勻之較 低介電常數,此外,由於鑽石狀碳爲硬質材料,因此含有 以Si摻雜之辨石狀碳層可使用作爲用以製備平面化半導體 裝置之有效蝕刻止件或拋光層。 雖然本發明已參照較佳具體例特別顯示及敘述,但熟悉 本技藝者將了解在不達離本發明精神及範面之内可作各種 改變。 -17- 衣紙張尺度適用屮國丨S家標孪(CNS ) Λ4規格(210X 公雄) (請先閱讀背面之注意事項再填寫本頁) .裝' *-='° 線

Claims (1)

  1. Λ 8 Β8 CS _ D8 六、申請專利範圍 1· 一種分隔積體電路半導體裝置上一或多個導體程度之絕 緣體,包括: 具有曝露之第一金屬層之上表面之基材; 在該基材之上表面上形成之缵石狀碳材料絕緣層;及 在該絕緣層上圖形化以形成複數個導體之第二金屬層 〇 2. 根據申請專利範圍第1項之半導體裝置之絕緣體,其中 曝露之第一金屬層爲選自Al,Cu,W,Ta,Ti及其合 金之至少一種。 3. 根據申請專利範圍第1項之半導體裝置之絕緣體,其中 鑽石狀碳材料係選自氩化非晶形碳,非晶形碳,非晶形 鑽石,氟化氫化非晶形碳’氟化非晶形碳及氟化非晶形 - 鑽石。 4. 根據申請專利範園第1項之半導體裝置之絕緣體,其中 鑽石狀碳材料係藉選自電漿輔助之化學蒸敷法,濺射法 ,離子束沉積法及雷射燒蝕法之方法形成者。 5. 根據申請專利範圍第1項之半導體^置之絕緣體,其中 基材爲含有至少一個場效應半導體管(FET)之積體電路 晶片。 經濟部中央標隼局員工消费合作社印狀 6. 根據申請專利範圍第1項之半導體裝置之絕緣艘,其中 基材爲含有互補金屬氧化物半導體(CMOS)裝置之積體 電路晶片。 7·—種使用於積體半導體裝置之互連結構,包括: 具有上表面之基材,該上表面具有爆露之第一金屬區 -18 - 本紙悵尺度適用中闽國家標隼(CNS ) Λ4規格(210 X 2W公;t > 、申請專利範圍 及選自Si〇2及婿石狀碳材料之曝露之第二絕緣區; 在該基材之該上表面上形成之第一層婿石狀碳材料; 在該第一層上圖形化以形成複數個導體之第二金屬層 ;及 、電連接經選擇之第一區至一或多個該複數個導嫌之金 屬進料通道。 8. 根據申請專利範固第7項之互連結構,其中曝露之第一 金屬區係選自Al,Cu,W,Ta,Ti及其合金之至少一 種金屬。 9. 根據申請專利範圔第7項之互連結構,其中續石狀碳材 料係選自氩化非晶形碳,非晶形碳,非晶形精石,氣化 氣化非晶形碳,氟化非晶形碳及氟化痒晶形續石。 10. 根據申請專利範園第7項之互連結構,其中續石狀竣材 料係藉選自電漿輔助之化學蒸敷法,滅射法,離予束沉 積法及雷射燒蝕法之方法所形成者。 11. 根據申請專利範圍第7項之互連結構,其中基材爲含至 少一個半導體管之積體電路晶片。 12·根據申請專利範固第7項之互連結構,其中基材爲含有 互補金屬氧化物半導體(CMOS)裝置之積體電路晶片。 13. —種使用於積體電路晶片上之互連結構,包括: 具有第一導電區域之上表面之基材; 第一層選自氫化非晶形碳’非晶形碳,非晶形靖石, 及氟化竣石狀碳之舞石狀破材料層,其中該靖不狀破材 辫係以v原子。/〇Si或Ge摻雜者; 275136 A8 B8 C8 D8 經濟部中央揉準局貝工消費合作社印製 六、申請專利範圍 第二層選自氩化非晶形碳,非晶形碳,非晶形領^石, 及氟化鑽石狀碳之蹐石狀碳材料層,其中該鑽石狀破材 料係以w原子%Si或Ge掺雜者,其中v及vv可相同或不 同且係在約0至約2 5之範圍; 具有在該第二層上形成之第一金屬之第一互連圏形之 該第二層;及 具有使經選擇之該第一導電區域輿該第—互連圈形互 連之第二金屬間柱之該第一及第二層。 14.根據申請專利範圍第1 3項之互連結構,其中v係自約5 至約1 5及w係自約2至約1 5。 V15.根據申請專利範困第1 3項之互連結構,其中該氣化嫌 石狀碳包含至少1原子%氟原子共價鍵^至該氣化竣石 - 狀碳之碳原子者。 16.根據申請專利範面第13項之互連結構,其中續石狀碳 材料爲氫化非晶形碳。 1T根據申請專利範固第η項之互連結構,其中第一金屬 係選自Al,Cu,W及其合金。 18. 根據申請專利範固第13項之互連結構,其中第二金屬 係選自Al,Cu,W及其合金。 19. 根據申請專利範圍第18項之互連結構,又包含在該第 —或第二金屬之該第一層侧壁與該第一或第二層鑽石狀 碳材料層之間之第三金屬層。 20. 根據中請專利範圓第19項之互連結構其中該第三金 屬係選自Ta,Ti,W,Cr及其合金者。 1請先閱讀背面之法意事項存填寫本頁) 裝 訂 1 線 -20- A8 B8 C8 D8 々、申請專利範圍 V21_ —種在上表面上具有導電區域之基材上形成互連結構方 法,包括之步驟爲: 在該基材之上表面上形成第一層鑽石狀碳材料層,該 材料係選自氫化非晶形竣,非晶形竣,非晶形續石,及 氟化嫌石狀碳材料者,其中該蜡石狀竣材料係以v原子 0/〇之Si或Ge摻雜; 在該第一層上形成第二層蹐石狀碳材料層,該材料係 選自氣化非晶形碳,非晶形碳’非晶形耕石,及氟化嫌 石狀碳’其中該續石狀碳材科係以w原子%之8丨或Ge摻 雜; 在該第二層上形成第三層鑽石狀碳材料層,該材料係 選自氫化非晶形碳,非晶形碳,非晶形缵石及氟化靖石 ’ 狀破’其中該嫌石狀複材料係以X原子%之Si或Ge摻雜 » 在該第三層上形成第四層錢石狀竣材料層,該材料係 選自氫化非晶形碳,非晶形碳,非晶形鑽石,及氟化鑽 石狀碳,其中該鑽石狀磙材料係以y原予〇/0之Si或Ge摻 雜; 經濟部中央揉準局®:工消费合作社印製 (請先閱讀背面之注意事項再填寫本頁) 在該第四層上形成第五層鑽石狀碳材料層,該材料係 選自氫化非晶形碳,非晶形碳,非晶形鑽石及氟化鑽石 狀碳,其中該鑽石狀碳材料係以z原子。/〇之Si或Ge摻雜 :其中v,w,X ’ y及z爲相同或不同且在自約〇至約25 之範園; 在該第五層上形成對有對上述經選擇之該導電區域之 -21 - 本紙張尺度適用中國國家榡準(CNS ) A4規格(2丨OX 297公釐) 經濟部中央標準局負工消費合作社印製 A8 B8 C8 ____ D8 六、申請專利範園 開孔之第一面軍; 經由該第一面軍及該第五至第一層蝕刻; 移除該第一面軍; 在該整個第五層上形成具有使該導電區域之經選擇者 互連之互連囷形之第二面軍; 經由該第二面軍及該第四及第五層蝕刻;及 以金屬填入該第一至第五層及該第四及第五層之開孔 0 22. 根據申請專利範国第21項之方法,其中V,W,X,y及 Z係在約5至約1 5之範团。 23. 根據申請專利範圍第21項之方法,又包含對該金屬及 該第五層進行化-機拋光以形成平面上表面之步驟。 _ 24·根據申請專利範固第2 1項之方法,其中至少一個但非 全部之鑽石狀碳層係經另一種介電材料取代者。 25. 根據申請專利範固第24項之方法,其中該介電材料爲 氧化矽或氮化矽。 26. —種選择性蝕刻鑽石狀破之方法,包括下列步驟: 選擇具有上表面之基材; 在該基材該上表面上形成第一層以乂原子%8丨或Ge摻 雜之雄石狀碳層,其中v係自約〇 . 1至約2 5 ; 在該第一層上形成第二層鑽石狀碳層; 、在該第二層上形成圈形層; 導入含〇2之氣體; 經由該圈形層對該第二層反應性離子蝕刻曝霧之處; -22- (請先閲讀背面之注意事項再填寫本頁) .裝. 訂 線 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨Ο X 297公釐) A8 B8 C8 D8 六、申請專利範圍 及 在蚀刻通過該第一層之前终止該反應性離子姑刻步樣 0 2X根據中請專利範固第2 6項之方法,其中v係自約5至約 1 5 〇 28. —種於Si02中形成圖形之方法,包括下列步驟:\選擇具有上表面之基材; 在該基材上表面上形成鑽石狀竣材料層; 在該材料層上形成Si02層;在該Si02層上形成圖形層; 導入含氟之氣嫌; 、經由該圈形層反應性離予蝕刻該Si〇2層曝露之處;及• 在蚀刻通過該嫌石狀竣材料層之箭终正該反應性離子 蝕刻步蠊。29_根據申請專利範圍第28項之方法,其中該含氟之氣嫌 包含CF4。30.根據申請專利範团第28項之方法,其中該靖石狀破材 料係選自氩化非晶形碳,非晶形碳,非晶形嫌石,氟化 氫化非晶形碳及氟化非晶形鑽石。 ---—---.11 -. I___I (請先閱讀背面之注意事項再填寫本頁) 訂 線 經濟部中央梂率局貝工消费合作社印$. -23 - 本纸张尺度適用中國國家榡隼(CNS〉A4規格(210X 297公着)
TW084108335A 1994-07-12 1995-08-10 TW275136B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/273,689 US5559367A (en) 1994-07-12 1994-07-12 Diamond-like carbon for use in VLSI and ULSI interconnect systems

Publications (1)

Publication Number Publication Date
TW275136B true TW275136B (zh) 1996-05-01

Family

ID=23045003

Family Applications (1)

Application Number Title Priority Date Filing Date
TW084108335A TW275136B (zh) 1994-07-12 1995-08-10

Country Status (5)

Country Link
US (3) US5559367A (zh)
EP (1) EP0696819A1 (zh)
JP (1) JP3184746B2 (zh)
KR (1) KR960005853A (zh)
TW (1) TW275136B (zh)

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2748879B2 (ja) * 1995-02-23 1998-05-13 日本電気株式会社 フッ素化非晶質炭素膜材料の製造方法
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5798302A (en) * 1996-02-28 1998-08-25 Micron Technology, Inc. Low friction polish-stop stratum for endpointing chemical-mechanical planarization processing of semiconductor wafers
US5942328A (en) * 1996-02-29 1999-08-24 International Business Machines Corporation Low dielectric constant amorphous fluorinated carbon and method of preparation
JP2956571B2 (ja) 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US6017814A (en) * 1996-03-13 2000-01-25 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
JPH09289250A (ja) * 1996-04-24 1997-11-04 Nec Corp 半導体装置の製造方法
US5840427A (en) * 1996-05-21 1998-11-24 Teledyne Industries Incorporated Method for making corrosion resistant electrical components
US5858477A (en) * 1996-12-10 1999-01-12 Akashic Memories Corporation Method for producing recording media having protective overcoats of highly tetrahedral amorphous carbon
US5731624A (en) * 1996-06-28 1998-03-24 International Business Machines Corporation Integrated pad and fuse structure for planar copper metallurgy
WO1998006885A1 (fr) * 1996-08-15 1998-02-19 Citizen Watch Co., Ltd. Procede d'elimination d'un film de carbone dur forme sur la surface circonferentielle interne d'une douille de guidage
US5744865A (en) * 1996-10-22 1998-04-28 Texas Instruments Incorporated Highly thermally conductive interconnect structure for intergrated circuits
JP3409984B2 (ja) * 1996-11-14 2003-05-26 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
KR100272260B1 (ko) * 1996-11-27 2000-11-15 김영환 유사다이아몬드를 이용한 박막트랜지스터 및 그의 제조방법
JP3228183B2 (ja) * 1996-12-02 2001-11-12 日本電気株式会社 絶縁膜ならびにその絶縁膜を有する半導体装置とその製造方法
GB2334818B (en) * 1996-12-02 2000-07-19 Nec Corp An insulating film, a semiconductor device and methods for their manaufacture
JP2910713B2 (ja) * 1996-12-25 1999-06-23 日本電気株式会社 半導体装置の製造方法
EP0856825B1 (en) * 1997-01-31 2004-11-17 STMicroelectronics S.r.l. Process for manufacturing integrated semiconductor devices comprising a chemoresistive gas microsensor
JP3050165B2 (ja) 1997-05-29 2000-06-12 日本電気株式会社 半導体装置およびその製造方法
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
JP3031301B2 (ja) 1997-06-25 2000-04-10 日本電気株式会社 銅配線構造およびその製造方法
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6071597A (en) * 1997-08-28 2000-06-06 3M Innovative Properties Company Flexible circuits and carriers and process for manufacture
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
JP3469761B2 (ja) 1997-10-30 2003-11-25 東京エレクトロン株式会社 半導体デバイスの製造方法
TW430882B (en) * 1997-11-20 2001-04-21 Tokyo Electron Ltd Plasma film forming method
JP3429171B2 (ja) * 1997-11-20 2003-07-22 東京エレクトロン株式会社 プラズマ処理方法及び半導体デバイスの製造方法
WO1999031722A1 (de) * 1997-12-16 1999-06-24 Infineon Technologies Ag Barriereschicht für kupfermetallisierung
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
IL137014A0 (en) 1997-12-27 2001-06-14 Tokyo Electron Ltd Fluorine containing carbon film and method for depositing same
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6312766B1 (en) 1998-03-12 2001-11-06 Agere Systems Guardian Corp. Article comprising fluorinated diamond-like carbon and method for fabricating article
US6147407A (en) * 1998-03-27 2000-11-14 Lucent Technologies Inc. Article comprising fluorinated amorphous carbon and process for fabricating article
US7211496B1 (en) 1998-04-22 2007-05-01 International Business Machines Corporation Freestanding multiplayer IC wiring structure
US6097092A (en) * 1998-04-22 2000-08-01 International Business Machines Corporation Freestanding multilayer IC wiring structure
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
JP4355039B2 (ja) 1998-05-07 2009-10-28 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6130472A (en) 1998-07-24 2000-10-10 International Business Machines Corporation Moisture and ion barrier for protection of devices and interconnect structures
US6265779B1 (en) 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
AU6123899A (en) * 1998-10-15 2000-05-01 Toyo Kohan Co. Ltd. Supports for immobilizing dna or the like
US6573538B2 (en) 1998-11-12 2003-06-03 International Business Machines Corporation Semiconductor device with internal heat dissipation
US6331481B1 (en) 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
US6303047B1 (en) 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6312808B1 (en) 1999-05-03 2001-11-06 Guardian Industries Corporation Hydrophobic coating with DLC & FAS on substrate
US6491987B2 (en) 1999-05-03 2002-12-10 Guardian Indusries Corp. Process for depositing DLC inclusive coating with surface roughness on substrate
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6475573B1 (en) 1999-05-03 2002-11-05 Guardian Industries Corp. Method of depositing DLC inclusive coating on substrate
US6447891B1 (en) 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6461731B1 (en) 1999-05-03 2002-10-08 Guardian Industries Corp. Solar management coating system including protective DLC
US6335086B1 (en) 1999-05-03 2002-01-01 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6368664B1 (en) 1999-05-03 2002-04-09 Guardian Industries Corp. Method of ion beam milling substrate prior to depositing diamond like carbon layer thereon
US6280834B1 (en) 1999-05-03 2001-08-28 Guardian Industries Corporation Hydrophobic coating including DLC and/or FAS on substrate
US6277480B1 (en) 1999-05-03 2001-08-21 Guardian Industries Corporation Coated article including a DLC inclusive layer(s) and a layer(s) deposited using siloxane gas, and corresponding method
US6284377B1 (en) 1999-05-03 2001-09-04 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6261693B1 (en) 1999-05-03 2001-07-17 Guardian Industries Corporation Highly tetrahedral amorphous carbon coating on glass
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6465159B1 (en) 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
US6573565B2 (en) * 1999-07-28 2003-06-03 International Business Machines Corporation Method and structure for providing improved thermal conduction for silicon semiconductor devices
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6756674B1 (en) * 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6423628B1 (en) 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
US6316354B1 (en) 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
KR20010061564A (ko) * 1999-12-28 2001-07-07 박종섭 기상 초저유전체를 위한 메탈 라인 사이의 실링 산화물
KR100356476B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 다마신 공정에서 금속층간 절연막 형성 방법
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6316734B1 (en) 2000-03-07 2001-11-13 3M Innovative Properties Company Flexible circuits with static discharge protection and process for manufacture
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
CN1224092C (zh) * 2000-04-28 2005-10-19 东京毅力科创株式会社 具有低介电膜的半导体器件及其制造方法
US6506678B1 (en) 2000-05-19 2003-01-14 Lsi Logic Corporation Integrated circuit structures having low k porous aluminum oxide dielectric material separating aluminum lines, and method of making same
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6348395B1 (en) * 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
CN1257547C (zh) * 2000-08-02 2006-05-24 国际商业机器公司 多相低介电常数材料及其沉积方法与应用
DE10042932C2 (de) * 2000-08-31 2002-08-29 Infineon Technologies Ag Verfahren zur Herstellung eines Metallkontaktes in einem Dielektrikum
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6368924B1 (en) * 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6406975B1 (en) * 2000-11-27 2002-06-18 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap shallow trench isolation (STI) structure
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6569580B2 (en) 2001-03-13 2003-05-27 Diverging Technologies, Inc. Binary and phase-shift photomasks
US6664026B2 (en) * 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6815620B2 (en) * 2001-03-29 2004-11-09 3M Innovative Properties Company Flexible circuit with electrostatic damage limiting feature
US6459043B1 (en) 2001-03-29 2002-10-01 3M Innovative Properties Company Flexible circuit with electrostatic damage limiting feature and method of manufacture
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6736984B2 (en) 2001-05-17 2004-05-18 Honeywell International Inc. Non-mechanical fabrication of carbon-containing work pieces
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6995954B1 (en) 2001-07-13 2006-02-07 Magnecomp Corporation ESD protected suspension interconnect
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
DE10144269A1 (de) * 2001-09-08 2003-03-27 Bosch Gmbh Robert Sensorelement zur Erfassung einer physikalischen Messgröße zwischen tribologisch hoch beanspruchten Körpern
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6740579B2 (en) * 2002-06-18 2004-05-25 Intel Corporation Method of making a semiconductor device that includes a dual damascene interconnect
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
JP3779243B2 (ja) * 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
US6989332B1 (en) * 2002-08-13 2006-01-24 Advanced Micro Devices, Inc. Ion implantation to modulate amorphous carbon stress
US7501330B2 (en) * 2002-12-05 2009-03-10 Intel Corporation Methods of forming a high conductivity diamond film and structures formed thereby
US6805431B2 (en) 2002-12-30 2004-10-19 Lexmark International, Inc. Heater chip with doped diamond-like carbon layer and overlying cavitation layer
WO2005036627A1 (en) * 2003-10-03 2005-04-21 Applied Materials, Inc. Absorber layer for dynamic surface annealing processing
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US7489493B2 (en) * 2003-12-01 2009-02-10 Magnecomp Corporation Method to form electrostatic discharge protection on flexible circuits using a diamond-like carbon material
WO2006137384A1 (ja) * 2005-06-20 2006-12-28 Tohoku University 層間絶縁膜および配線構造と、それらの製造方法
US7572482B2 (en) 2006-04-14 2009-08-11 Bae Systems Information And Electronic Systems Integration Inc. Photo-patterned carbon electronics
US20070269646A1 (en) * 2006-05-18 2007-11-22 Haverty Michael G Bond termination of pores in a porous diamond dielectric material
DE102006032796B4 (de) * 2006-07-14 2011-03-24 Infineon Technologies Ag Verfahren zum Herstellen eines Halbleiter-Bauelements
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
JP5154140B2 (ja) * 2006-12-28 2013-02-27 東京エレクトロン株式会社 半導体装置およびその製造方法
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7846767B1 (en) 2007-09-06 2010-12-07 Chien-Min Sung Semiconductor-on-diamond devices and associated methods
US8008095B2 (en) * 2007-10-03 2011-08-30 International Business Machines Corporation Methods for fabricating contacts to pillar structures in integrated circuits
US8013340B2 (en) * 2008-09-30 2011-09-06 Infineon Technologies Ag Semiconductor device with semiconductor body and method for the production of a semiconductor device
US20100117725A1 (en) 2008-11-12 2010-05-13 Infineon Technologies Austria Ag Semiconductor diode
TWI380421B (en) * 2009-03-13 2012-12-21 Advanced Semiconductor Eng Method for making silicon wafer having through via
US8841652B2 (en) * 2009-11-30 2014-09-23 International Business Machines Corporation Self aligned carbide source/drain FET
US20110127492A1 (en) 2009-11-30 2011-06-02 International Business Machines Corporation Field Effect Transistor Having Nanostructure Channel
US8748297B2 (en) 2012-04-20 2014-06-10 Infineon Technologies Ag Methods of forming semiconductor devices by singulating a substrate by removing a dummy fill material
US9406564B2 (en) 2013-11-21 2016-08-02 Infineon Technologies Ag Singulation through a masking structure surrounding expitaxial regions
CN104498874B (zh) * 2014-12-10 2017-12-05 上海大学 低气氛敏感性掺杂非晶碳基薄膜及其制备方法
CN107887323B (zh) 2016-09-30 2020-06-05 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
EP4140042A4 (en) * 2020-04-23 2024-04-24 Akash Systems Inc HIGH-EFFICIENCY STRUCTURES FOR ENHANCED WIRELESS COMMUNICATIONS

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4617193A (en) * 1983-06-16 1986-10-14 Digital Equipment Corporation Planar interconnect for integrated circuits
US4648938A (en) * 1985-10-11 1987-03-10 The United States Of America As Represented By The United States Department Of Energy Composition/bandgap selective dry photochemical etching of semiconductor materials
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4671852A (en) * 1986-05-07 1987-06-09 The Standard Oil Company Method of forming suspended gate, chemically sensitive field-effect transistor
US5087959A (en) * 1987-03-02 1992-02-11 Microwave Technology, Inc. Protective coating useful as a passivation layer for semiconductor devices
JP2569058B2 (ja) * 1987-07-10 1997-01-08 株式会社日立製作所 半導体装置
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4987007A (en) * 1988-04-18 1991-01-22 Board Of Regents, The University Of Texas System Method and apparatus for producing a layer of material from a laser ion source
US5064809A (en) * 1988-12-23 1991-11-12 Troy Investments, Inc. Method of making a Josephson junction with a diamond-like carbon insulating barrier
US5087434A (en) * 1989-04-21 1992-02-11 The Pennsylvania Research Corporation Synthesis of diamond powders in the gas phase
US5266409A (en) * 1989-04-28 1993-11-30 Digital Equipment Corporation Hydrogenated carbon compositions
JPH036814A (ja) * 1989-06-02 1991-01-14 Mitsubishi Electric Corp 半導体装置のコンタクトホール形成方法
US5082359A (en) * 1989-11-28 1992-01-21 Epion Corporation Diamond films and method of growing diamond films on nondiamond substrates
JPH03181917A (ja) * 1989-12-11 1991-08-07 Ricoh Co Ltd 液晶表示装置
US5126206A (en) * 1990-03-20 1992-06-30 Diamonex, Incorporated Diamond-on-a-substrate for electronic applications
US5082522A (en) * 1990-08-14 1992-01-21 Texas Instruments Incorporated Method for forming patterned diamond thin films
US5186973A (en) * 1990-09-13 1993-02-16 Diamonex, Incorporated HFCVD method for producing thick, adherent and coherent polycrystalline diamonds films
US5527596A (en) * 1990-09-27 1996-06-18 Diamonex, Incorporated Abrasion wear resistant coated substrate product
JPH04240725A (ja) * 1991-01-24 1992-08-28 Sumitomo Electric Ind Ltd エッチング方法
DE69223534T2 (de) * 1991-03-22 1998-07-09 Shimadzu Corp Trockenätzverfahren und Anwendung davon
JP3123127B2 (ja) * 1991-07-22 2001-01-09 住友電気工業株式会社 電界効果型トランジスタ
US5221870A (en) * 1991-09-30 1993-06-22 Sumitomo Electric Industries, Ltd. Surface acoustic wave device
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
US5445712A (en) * 1992-03-25 1995-08-29 Sony Corporation Dry etching method
JP3104433B2 (ja) * 1992-10-16 2000-10-30 住友電気工業株式会社 ダイヤモンドのエッチング方法
US5474816A (en) * 1993-04-16 1995-12-12 The Regents Of The University Of California Fabrication of amorphous diamond films

Also Published As

Publication number Publication date
US5559367A (en) 1996-09-24
US5679269A (en) 1997-10-21
KR960005853A (ko) 1996-02-23
US5674355A (en) 1997-10-07
JP3184746B2 (ja) 2001-07-09
EP0696819A1 (en) 1996-02-14
JPH0864591A (ja) 1996-03-08

Similar Documents

Publication Publication Date Title
TW275136B (zh)
US6010962A (en) Copper chemical-mechanical-polishing (CMP) dishing
US6051496A (en) Use of stop layer for chemical mechanical polishing of CU damascene
US5897370A (en) High aspect ratio low resistivity lines/vias by surface diffusion
US6815329B2 (en) Multilayer interconnect structure containing air gaps and method for making
US7727888B2 (en) Interconnect structure and method for forming the same
US6255217B1 (en) Plasma treatment to enhance inorganic dielectric adhesion to copper
TWI222170B (en) Interconnect structures containing stress adjustment cap layer
TW475248B (en) Method and apparatus for forming metal interconnects
US6235633B1 (en) Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US8119519B2 (en) Semiconductor device manufacturing method
KR20000017062A (ko) 상호 접속 구조 및 그 제조 방법
JPH08236481A (ja) 軟金属導体およびその形成方法
US7109557B2 (en) Sacrificial dielectric planarization layer
MX2012008755A (es) Estructura y metodo para fabricar estructuras de interconexion que tienen capuchones dielectricos autoalineables.
US20060199386A1 (en) Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same
US6278147B1 (en) On-chip decoupling capacitor with bottom hardmask
TW574346B (en) Composition for metal CMP with low dishing and overpolish insensitivity
US6455430B2 (en) Method of embedding contact hole by damascene method
JP2004214617A (ja) 金属−絶縁体−金属キャパシターの製造方法
US20020182853A1 (en) Method for removing hard-mask layer after metal-CMP in dual-damascene interconnect structure
TW200945491A (en) Method for fabricating a semiconductor device
US6368959B1 (en) Method of manufacturing semiconductor device
JP5134326B2 (ja) 半導体装置の製造方法
US20040155348A1 (en) Barrier structure for copper metallization and method for the manufacture thereof

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees