KR960005853A - Vlsi 및 ulsi 상호 접속 시스템용 다이아몬드상 탄소 - Google Patents

Vlsi 및 ulsi 상호 접속 시스템용 다이아몬드상 탄소 Download PDF

Info

Publication number
KR960005853A
KR960005853A KR1019950020274A KR19950020274A KR960005853A KR 960005853 A KR960005853 A KR 960005853A KR 1019950020274 A KR1019950020274 A KR 1019950020274A KR 19950020274 A KR19950020274 A KR 19950020274A KR 960005853 A KR960005853 A KR 960005853A
Authority
KR
South Korea
Prior art keywords
diamond
carbon
layer
amorphous
metal
Prior art date
Application number
KR1019950020274A
Other languages
English (en)
Inventor
앨런 코헨 스테판
찰스 에델스테인 다니엘
그릴 알프레드
로스티슬라브 파라즈크자크 쥬리에
비탈브헤이 패텔 비쉬누브헤이
Original Assignee
윌리엄 티. 엘리스
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윌리엄 티. 엘리스, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 윌리엄 티. 엘리스
Publication of KR960005853A publication Critical patent/KR960005853A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 집적 회로 칩상의 도체의 하나 이상의 레벨을 이격시키기 위한 절연체로서 다이아몬드상 탄소를 구성요소 중 하나로 포함하는 반도체 소자에 관한 것이다. 본 발명은 또한 집적 구조의 형성 방법 및 이 방법에 의해 제조된 집적구조에 관한 것이다. 또한, 본 발명은 다이아몬드상 탄소층을 함유하는 기판으로부터 이 층을 선택적으로 이온 에칭시키는 방법도 제공한다.

Description

VLSI 및 ULSI 상호 접속 시스템용 다이아몬드상 탄소
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 반도체 소자의 두 레벨을 이격시키기 위한 절연 재로로서 다이아몬드상(diamond-like) 탄소층을 함유하는 반도체 소자의 단면도이다.

Claims (30)

  1. 노출된 제1금속층을 포함하는 상부 표면을 갖는 기판; 상기 가판의 상부 표면 위에 형성된 다이아몬드상 탄소 재료 절연층; 및 상기 절연층 위에 다수의 도체를 형성하기 위한 패턴화된 제2금속층을 포함하는, 집적회로 반도체 소자 상의 도체의 하나 이상의 레벨을 이격시키기 위한 절연체.
  2. 제1항에 있어서, 상기 노출된 제1금속층이 Al, Cu, W, Ta, Ti 및 이들의 합금으로 이루어지는 군에서 선택되는 적어도 하나의 금속인 반도체 소자의 절연체.
  3. 제1항에 있어서, 상기 다이아몬드상 탄소 재료가 수소첨가 비정질 탄소, 비정질 탄소, 비정질 다이아몬드, 수소첨가된 불화 비정질 탄소, 불화 비정질 탄소 및 불화 비정질 다이아몬드로 이루어지는 군에서 선택되는 것인 반도체 소자의 절연체.
  4. 제1항에 있어서, 상기 다이아몬드상 탄소 재료가 플라즈마원(plasma-assisted)화학증착법, 스퍼터링, 이온 비임 증착법 및 레이저 애블레이션(ablation)으로 이루어지는 군에서 선택되는 방법에 의해 형성되는 것인 반도체 소자의 절연체.
  5. 제1항에 있어서, 상기 기판이 적어도 하나의 전계 효과 트랜지스터(FET)를 함유하는 집적회로 칩인 반도체 소자의 절연체.
  6. 제1항에 있어서, 상기 기관이 상보 금속 산화물 반도체(CMOS) 소자를 함유하는 집적회로 칩인 반도체 소자의 절연체.
  7. 노출된 제1금속 영역 및 SiO2와 다이아몬드상 탄소 재료로 이루어지는 군에서 선택되는 노출된 제2절연 영역을 포함하는 상부 표면을 갖는 기관; 상기 기판의 상부 표면 위에 형성된 제1다이아몬드상 탄소 재료층; 상기 제1층 위에 다수의 도체를 형성하기 위한 패턴화된 제2금속층; 및 선택된 제1금속 영역들을 상기 다수의도체 중 하나 이상에 전기적으로 접속시키는 금속 관통부(feed through)를 포함하는 집적 반도체 소자에 사용하기 위한 상호 접속 구조.
  8. 제7항에 있어서, 상기 노출된 제1금속 영역이 Al, Cu, W, Ta, Ti 및 이들의 합금으로 이루어지는 군에서 선택되는 적어도 하나의 금속인 반도체 소자의 상호 접속 구조.
  9. 제7항에 있어서, 상기 다이아몬드상 탄소 재료가 수소첨가 비정질 탄소, 비정질 탄소, 비정질 다이아몬드, 수소첨가된 불화 비정질 탄소, 불화 비정질 탄소 및 불화 비정질 다이아몬드로 이루어지는 군에서 선택되는 것인 반도체 소자의 상호 접속 구조.
  10. 제7항에 있어서, 상기 다이아몬드상 탄소 재료가 플라즈마원 화학증착법, 스퍼터링, 이온 비임 증착법 및 레이저 애블레이션으로 이루어지는 군에서 선택되는 방법에 의해 형성되는 반도체 소자의 상호 접속 구조.
  11. 제7항에 있어서, 상기 기관이 적어도 하나의 전계 효과 트랜지스터(FET)를 함유하는 집적회로 칩인 반도체 소자의 상호 접속 구조.
  12. 제7항에 있어서, 상기 기판이 상보 금속 산화물 반도체(CMOS) 소자를 함유하는 집적회로 칩인 반도체 소자의 상호 접속 구조.
  13. 제1도전성 영역을 포함하는 상부 표면을 갖는 기판; 수소첨가 비정질탄소, 비정질탄소, 비정질 다이아몬드, 불화 다이아몬드상 탄소로 이루어지는 군에서 선택되는 제1다이아몬드상 탄소 재료층(여기서, 다이아몬드상 탄소 재료는 v원자%의 Si또는 Ge으로 도프됨);및 수소첨가 비정질 탄소, 비정질 탄소,비정질 다이아몬드 및 불화 다이아몬드상 탄소로 이루어지는 군에서 선택되는 제2다이아몬드상 탄소 재료층(여기서, 다이아몬드상 탄소 재료는 w원자%의 Si 또는 Ge으로 도프되고; 상기 v 및 w는 동일하거나 상이하며 약 0 내지 약 25범위임)을 포함하며, 상기 제2층은 그 위에 형성된 제1금속의 제1상호 접속 패턴을 갖고, 상기 제1및 제2층 은 상기 제1도전성 영역 중 선택된 영역들과 상기 제1상호 접속 패턴을 상호 접속시키는 제2금속의 스터드(stud)를 갖는 것인, 집적회로 칩에 사용하기 위한 상호 접속 구조.
  14. 제13항에 있어서, v가 약 5 내지 약 15이고, w가 약 2 내지 약 15인 상호 접속 구조.
  15. 제13항에 있어서, 상기 불화 다이아몬드상 탄소가 이 불화 다이아몬드상 탄소의 탄소 원자에 공유 결합된 불소 원자를 적어도 1원% 함유하는 상호 접속 구조.
  16. 제13항에 있어서, 상기 다이아몬드상 탄소 재료가 수소첨가 비정질 탄소인 상호 접속 구조.
  17. 제13항에 있어서, 상기 제1금속이 Al, Cu, W 및 이들의 합금으로 이루어지는 군에서 선택되는 것인 상호 접속 구조.
  18. 제13항에 있어서, 상기 제2금속이 Al, Cu, W 및 이들의 합금으로 이루어지는 군에서 선택되는 것인 상호 접속 구조.
  19. 제18항에 있어서, 추가로 상기 제1 또는 제2금속의 상기 제1층의 측벽과 상기 제1 또는 제2다이아몬드상 탄소 재료층 사이에 제3금속층을 포함하는 상호 접속 구조.
  20. 제19항에 있어서, 상기 제3금속이 Ta, Ti, W, Cr및 이들의 합금으로 이루어지는 군에서 선택되는 것인 상호 접속 구조.
  21. 도전성 영역을 포함하는 상부 표면을 갖는 기판의그 상부 표면 위에 수소첨가 비정질탄소, 비정질탄소, 비정질 다이아몬드, 불화 다이아몬드상 탄소로 이루어지는 군에서 선택되는 제1다이아몬드상 탄소 재료층을 형성하는 단계(여기서, 다이아몬드상 탄소 재료는 v원자%의 Si또는 Ge으로 도프됨);상기 제1층 위에 수소첨가 비정질 탄소, 비정질 탄소,비정질 다이아몬드 및 불화 다이아몬드상 탄소로 이루어지는 군에서 선택되는 제2다이아몬드상 탄소 재료층을 형성하는 단계(여기서, 다이아몬드상 탄소 재료는 w 원자%의 Si 또는 Ge으로 도프됨); 상기 제2층 위에 수소첨가비정질 탄소, 비정질 탄소,비정질 다이아몬드 및 불화 다이아몬드상 탄소로 이루어지는 군에서 선택되는 제3다이아몬드상 탄소 재료층을 형성하는 단계(여기서, 다이아몬드상 탄소 재료는 x원자%의 Si또는 Ge으로 도프됨); 상기 제3층 위에 수소첨가비정질 탄소, 비정질 탄소,비정질 다이아몬드 및 불화 다이아몬드상 탄소로 이루어지는 군에서 선택되는 제4다이아몬드상 탄소 재료층을 형성하는 단계(여기서, 다이아몬드상 탄소 재료는 y원자%의 Si 또는 Ge으로 도프됨); 상기 제4층 위에 수소첨가 비정질 탄소, 비정질 탄소,비정질 다이아몬드 및 불화 다이아몬드상 탄소로 이루어지는 군에서 선택되는 제5다이아몬드상 탄소 재료층을 형성하는 단계(여기서, 다이아몬드상 탄소 재료는 z원자%의 Si또는 Ge으로 도프되고; 상기 v,w,x,y 및 z는 동일하거나 상이하며 약 0 내지 약 25범위임); 상기 도전성 영역의 선택된 영역들위에 개구들을 갖는 제1마스크를 상기 제5층 위에 형성하는 단계; 상기 제1마스크 및 상기 제5 내지 1층을 통해 에칭시키는 단계; 상기 제1마스크를 제거시키는 단계; 상기 도전성 영역 중 선택된 영역들을 상호 접속시키기 위한 상호 접속 패턴을 갖는 제2마스크를 상기 제5층 위에 형성하는 단계;상기 제2마스크 및 상기 제5및 4층을통해 에칭시키는 단계; 상기 제1 내지 5층 및 상기 제4 및 5층 중의 상기 개구들을 금속으로 충전시키는 단계를 포함하는, 도전성 영역을 포함하는 상부 표면을 갖는 기판 위에 상호 접속 구조를 형성하는 방법.
  22. 제21항에 있어서, 상기 v,w,x,y 및 z는 약 5 내지 약 15범위인 방법.
  23. 제21항에 있어서, 상기 제1금속 및 상기 제5층을 화학 기계적으로 폴리싱하여 평평한 상부 표면을 형성하는 단계를 추가로 포함하는 방법.
  24. 제21항에 있어서, 상기 다이아몬드상 탄소층 중 적어도 하나(그러나,모두는 아님)가 다른 유전 물질로 대체되는 방법.
  25. 제24항에 있어서, 상기 유전 무질이 이산화규소 또는 질화규소인 방법.
  26. 상부 표면을 갖는 기판을 선택하는 단계; 상기 기판의 상기 상부 표면 위에 v원자%의 Si 또는 Ge로 도프된 제1다이아몬드상 탄소층을 형성하는 단계(여기서, v는 약 0.1 내지 25임); 상기 제1층 위에 제2다이아몬드상 탄소층을 형성하는 단계; 상기 제2층 위에 패턴화층을 형성하는 단계; O2함유 기체를 도입하는 단계; 상기 패턴화층을 통해 노출된 상기 제2층을 반응성 이온 에칭시키는 단계; 및 상기 제1층을 통해 에칭되기 전에 반응성 이온 에칭 단계를 정지시키는 단계를 포함하는 다이아몬드상 탄소의 선택적 에칭 방법.
  27. 제26항에 있어서, v가 약 5 내지 약 15인 방법.
  28. 상부 표면을 갖는 기판을 선택하는 단계; 상기 기판의 상기 상부 표면 위에 다이아몬드상 탄소 재료층을 형성하는 단계; 상기 탄소 재료층 위에 SiO2층 위에 패턴화층을 형성하는 단계;불소 함유 기체를 도입하는 단계; 상기 패턴화층을 통해노출된 상기 SiO2층을 반응성 이온 에칭시키는 단계; 상기 다이아몬드상 탄소 재료층을 통해 에칭되기 전에 상기 반응성 이온 에칭단계를 정지시키는 단계를 포함하는 SiO2에 패턴을 형성하는 방법.
  29. 제28항에 있어서, 상기 불소 함유 기체가 CF4를 포함하는 것인 방법.
  30. 제28항에 있어서, 불화 비정질 탄소 재료가 수소첨가 비정질 탄소, 비정질 탄소, 비정질 다이아몬드, 수소첨가된 불화 비정질 탄소 및 불화 비정질 다이아몬드로 이루어지는 군에서 선택되는 것인 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019950020274A 1994-07-12 1995-07-11 Vlsi 및 ulsi 상호 접속 시스템용 다이아몬드상 탄소 KR960005853A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/273,689 1994-07-12
US08/273,689 US5559367A (en) 1994-07-12 1994-07-12 Diamond-like carbon for use in VLSI and ULSI interconnect systems

Publications (1)

Publication Number Publication Date
KR960005853A true KR960005853A (ko) 1996-02-23

Family

ID=23045003

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950020274A KR960005853A (ko) 1994-07-12 1995-07-11 Vlsi 및 ulsi 상호 접속 시스템용 다이아몬드상 탄소

Country Status (5)

Country Link
US (3) US5559367A (ko)
EP (1) EP0696819A1 (ko)
JP (1) JP3184746B2 (ko)
KR (1) KR960005853A (ko)
TW (1) TW275136B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100337580B1 (ko) * 1996-12-02 2002-10-11 닛본 덴기 가부시끼가이샤 반도체장치및그제조방법
KR100356476B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 다마신 공정에서 금속층간 절연막 형성 방법

Families Citing this family (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2748879B2 (ja) * 1995-02-23 1998-05-13 日本電気株式会社 フッ素化非晶質炭素膜材料の製造方法
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5798302A (en) * 1996-02-28 1998-08-25 Micron Technology, Inc. Low friction polish-stop stratum for endpointing chemical-mechanical planarization processing of semiconductor wafers
US5942328A (en) * 1996-02-29 1999-08-24 International Business Machines Corporation Low dielectric constant amorphous fluorinated carbon and method of preparation
JP2956571B2 (ja) 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US6017814A (en) * 1996-03-13 2000-01-25 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
JPH09289250A (ja) * 1996-04-24 1997-11-04 Nec Corp 半導体装置の製造方法
US5840427A (en) * 1996-05-21 1998-11-24 Teledyne Industries Incorporated Method for making corrosion resistant electrical components
US5858477A (en) * 1996-12-10 1999-01-12 Akashic Memories Corporation Method for producing recording media having protective overcoats of highly tetrahedral amorphous carbon
US5731624A (en) * 1996-06-28 1998-03-24 International Business Machines Corporation Integrated pad and fuse structure for planar copper metallurgy
WO1998006885A1 (fr) * 1996-08-15 1998-02-19 Citizen Watch Co., Ltd. Procede d'elimination d'un film de carbone dur forme sur la surface circonferentielle interne d'une douille de guidage
US5744865A (en) * 1996-10-22 1998-04-28 Texas Instruments Incorporated Highly thermally conductive interconnect structure for intergrated circuits
JP3409984B2 (ja) * 1996-11-14 2003-05-26 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
KR100272260B1 (ko) * 1996-11-27 2000-11-15 김영환 유사다이아몬드를 이용한 박막트랜지스터 및 그의 제조방법
GB2334818B (en) * 1996-12-02 2000-07-19 Nec Corp An insulating film, a semiconductor device and methods for their manaufacture
JP2910713B2 (ja) * 1996-12-25 1999-06-23 日本電気株式会社 半導体装置の製造方法
EP0856825B1 (en) * 1997-01-31 2004-11-17 STMicroelectronics S.r.l. Process for manufacturing integrated semiconductor devices comprising a chemoresistive gas microsensor
JP3050165B2 (ja) 1997-05-29 2000-06-12 日本電気株式会社 半導体装置およびその製造方法
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
JP3031301B2 (ja) 1997-06-25 2000-04-10 日本電気株式会社 銅配線構造およびその製造方法
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6071597A (en) * 1997-08-28 2000-06-06 3M Innovative Properties Company Flexible circuits and carriers and process for manufacture
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
JP3469761B2 (ja) 1997-10-30 2003-11-25 東京エレクトロン株式会社 半導体デバイスの製造方法
TW430882B (en) * 1997-11-20 2001-04-21 Tokyo Electron Ltd Plasma film forming method
JP3429171B2 (ja) * 1997-11-20 2003-07-22 東京エレクトロン株式会社 プラズマ処理方法及び半導体デバイスの製造方法
WO1999031722A1 (de) * 1997-12-16 1999-06-24 Infineon Technologies Ag Barriereschicht für kupfermetallisierung
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
IL137014A0 (en) 1997-12-27 2001-06-14 Tokyo Electron Ltd Fluorine containing carbon film and method for depositing same
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6312766B1 (en) 1998-03-12 2001-11-06 Agere Systems Guardian Corp. Article comprising fluorinated diamond-like carbon and method for fabricating article
US6147407A (en) * 1998-03-27 2000-11-14 Lucent Technologies Inc. Article comprising fluorinated amorphous carbon and process for fabricating article
US7211496B1 (en) 1998-04-22 2007-05-01 International Business Machines Corporation Freestanding multiplayer IC wiring structure
US6097092A (en) * 1998-04-22 2000-08-01 International Business Machines Corporation Freestanding multilayer IC wiring structure
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
JP4355039B2 (ja) 1998-05-07 2009-10-28 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6130472A (en) 1998-07-24 2000-10-10 International Business Machines Corporation Moisture and ion barrier for protection of devices and interconnect structures
US6265779B1 (en) 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
AU6123899A (en) * 1998-10-15 2000-05-01 Toyo Kohan Co. Ltd. Supports for immobilizing dna or the like
US6573538B2 (en) 1998-11-12 2003-06-03 International Business Machines Corporation Semiconductor device with internal heat dissipation
US6331481B1 (en) 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
US6303047B1 (en) 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6312808B1 (en) 1999-05-03 2001-11-06 Guardian Industries Corporation Hydrophobic coating with DLC & FAS on substrate
US6491987B2 (en) 1999-05-03 2002-12-10 Guardian Indusries Corp. Process for depositing DLC inclusive coating with surface roughness on substrate
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6475573B1 (en) 1999-05-03 2002-11-05 Guardian Industries Corp. Method of depositing DLC inclusive coating on substrate
US6447891B1 (en) 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6461731B1 (en) 1999-05-03 2002-10-08 Guardian Industries Corp. Solar management coating system including protective DLC
US6335086B1 (en) 1999-05-03 2002-01-01 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6368664B1 (en) 1999-05-03 2002-04-09 Guardian Industries Corp. Method of ion beam milling substrate prior to depositing diamond like carbon layer thereon
US6280834B1 (en) 1999-05-03 2001-08-28 Guardian Industries Corporation Hydrophobic coating including DLC and/or FAS on substrate
US6277480B1 (en) 1999-05-03 2001-08-21 Guardian Industries Corporation Coated article including a DLC inclusive layer(s) and a layer(s) deposited using siloxane gas, and corresponding method
US6284377B1 (en) 1999-05-03 2001-09-04 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6261693B1 (en) 1999-05-03 2001-07-17 Guardian Industries Corporation Highly tetrahedral amorphous carbon coating on glass
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6465159B1 (en) 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
US6573565B2 (en) * 1999-07-28 2003-06-03 International Business Machines Corporation Method and structure for providing improved thermal conduction for silicon semiconductor devices
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6756674B1 (en) * 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6423628B1 (en) 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
US6316354B1 (en) 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
KR20010061564A (ko) * 1999-12-28 2001-07-07 박종섭 기상 초저유전체를 위한 메탈 라인 사이의 실링 산화물
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6316734B1 (en) 2000-03-07 2001-11-13 3M Innovative Properties Company Flexible circuits with static discharge protection and process for manufacture
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
CN1224092C (zh) * 2000-04-28 2005-10-19 东京毅力科创株式会社 具有低介电膜的半导体器件及其制造方法
US6506678B1 (en) 2000-05-19 2003-01-14 Lsi Logic Corporation Integrated circuit structures having low k porous aluminum oxide dielectric material separating aluminum lines, and method of making same
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6348395B1 (en) * 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
CN1257547C (zh) * 2000-08-02 2006-05-24 国际商业机器公司 多相低介电常数材料及其沉积方法与应用
DE10042932C2 (de) * 2000-08-31 2002-08-29 Infineon Technologies Ag Verfahren zur Herstellung eines Metallkontaktes in einem Dielektrikum
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6368924B1 (en) * 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6406975B1 (en) * 2000-11-27 2002-06-18 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap shallow trench isolation (STI) structure
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6569580B2 (en) 2001-03-13 2003-05-27 Diverging Technologies, Inc. Binary and phase-shift photomasks
US6664026B2 (en) * 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6815620B2 (en) * 2001-03-29 2004-11-09 3M Innovative Properties Company Flexible circuit with electrostatic damage limiting feature
US6459043B1 (en) 2001-03-29 2002-10-01 3M Innovative Properties Company Flexible circuit with electrostatic damage limiting feature and method of manufacture
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6736984B2 (en) 2001-05-17 2004-05-18 Honeywell International Inc. Non-mechanical fabrication of carbon-containing work pieces
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6995954B1 (en) 2001-07-13 2006-02-07 Magnecomp Corporation ESD protected suspension interconnect
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
DE10144269A1 (de) * 2001-09-08 2003-03-27 Bosch Gmbh Robert Sensorelement zur Erfassung einer physikalischen Messgröße zwischen tribologisch hoch beanspruchten Körpern
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6740579B2 (en) * 2002-06-18 2004-05-25 Intel Corporation Method of making a semiconductor device that includes a dual damascene interconnect
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
JP3779243B2 (ja) * 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
US6989332B1 (en) * 2002-08-13 2006-01-24 Advanced Micro Devices, Inc. Ion implantation to modulate amorphous carbon stress
US7501330B2 (en) * 2002-12-05 2009-03-10 Intel Corporation Methods of forming a high conductivity diamond film and structures formed thereby
US6805431B2 (en) 2002-12-30 2004-10-19 Lexmark International, Inc. Heater chip with doped diamond-like carbon layer and overlying cavitation layer
WO2005036627A1 (en) * 2003-10-03 2005-04-21 Applied Materials, Inc. Absorber layer for dynamic surface annealing processing
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US7489493B2 (en) * 2003-12-01 2009-02-10 Magnecomp Corporation Method to form electrostatic discharge protection on flexible circuits using a diamond-like carbon material
WO2006137384A1 (ja) * 2005-06-20 2006-12-28 Tohoku University 層間絶縁膜および配線構造と、それらの製造方法
US7572482B2 (en) 2006-04-14 2009-08-11 Bae Systems Information And Electronic Systems Integration Inc. Photo-patterned carbon electronics
US20070269646A1 (en) * 2006-05-18 2007-11-22 Haverty Michael G Bond termination of pores in a porous diamond dielectric material
DE102006032796B4 (de) * 2006-07-14 2011-03-24 Infineon Technologies Ag Verfahren zum Herstellen eines Halbleiter-Bauelements
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
JP5154140B2 (ja) * 2006-12-28 2013-02-27 東京エレクトロン株式会社 半導体装置およびその製造方法
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7846767B1 (en) 2007-09-06 2010-12-07 Chien-Min Sung Semiconductor-on-diamond devices and associated methods
US8008095B2 (en) * 2007-10-03 2011-08-30 International Business Machines Corporation Methods for fabricating contacts to pillar structures in integrated circuits
US8013340B2 (en) * 2008-09-30 2011-09-06 Infineon Technologies Ag Semiconductor device with semiconductor body and method for the production of a semiconductor device
US20100117725A1 (en) 2008-11-12 2010-05-13 Infineon Technologies Austria Ag Semiconductor diode
TWI380421B (en) * 2009-03-13 2012-12-21 Advanced Semiconductor Eng Method for making silicon wafer having through via
US8841652B2 (en) * 2009-11-30 2014-09-23 International Business Machines Corporation Self aligned carbide source/drain FET
US20110127492A1 (en) 2009-11-30 2011-06-02 International Business Machines Corporation Field Effect Transistor Having Nanostructure Channel
US8748297B2 (en) 2012-04-20 2014-06-10 Infineon Technologies Ag Methods of forming semiconductor devices by singulating a substrate by removing a dummy fill material
US9406564B2 (en) 2013-11-21 2016-08-02 Infineon Technologies Ag Singulation through a masking structure surrounding expitaxial regions
CN104498874B (zh) * 2014-12-10 2017-12-05 上海大学 低气氛敏感性掺杂非晶碳基薄膜及其制备方法
CN107887323B (zh) 2016-09-30 2020-06-05 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
EP4140042A4 (en) * 2020-04-23 2024-04-24 Akash Systems Inc HIGH-EFFICIENCY STRUCTURES FOR ENHANCED WIRELESS COMMUNICATIONS

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4617193A (en) * 1983-06-16 1986-10-14 Digital Equipment Corporation Planar interconnect for integrated circuits
US4648938A (en) * 1985-10-11 1987-03-10 The United States Of America As Represented By The United States Department Of Energy Composition/bandgap selective dry photochemical etching of semiconductor materials
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4671852A (en) * 1986-05-07 1987-06-09 The Standard Oil Company Method of forming suspended gate, chemically sensitive field-effect transistor
US5087959A (en) * 1987-03-02 1992-02-11 Microwave Technology, Inc. Protective coating useful as a passivation layer for semiconductor devices
JP2569058B2 (ja) * 1987-07-10 1997-01-08 株式会社日立製作所 半導体装置
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4987007A (en) * 1988-04-18 1991-01-22 Board Of Regents, The University Of Texas System Method and apparatus for producing a layer of material from a laser ion source
US5064809A (en) * 1988-12-23 1991-11-12 Troy Investments, Inc. Method of making a Josephson junction with a diamond-like carbon insulating barrier
US5087434A (en) * 1989-04-21 1992-02-11 The Pennsylvania Research Corporation Synthesis of diamond powders in the gas phase
US5266409A (en) * 1989-04-28 1993-11-30 Digital Equipment Corporation Hydrogenated carbon compositions
JPH036814A (ja) * 1989-06-02 1991-01-14 Mitsubishi Electric Corp 半導体装置のコンタクトホール形成方法
US5082359A (en) * 1989-11-28 1992-01-21 Epion Corporation Diamond films and method of growing diamond films on nondiamond substrates
JPH03181917A (ja) * 1989-12-11 1991-08-07 Ricoh Co Ltd 液晶表示装置
US5126206A (en) * 1990-03-20 1992-06-30 Diamonex, Incorporated Diamond-on-a-substrate for electronic applications
US5082522A (en) * 1990-08-14 1992-01-21 Texas Instruments Incorporated Method for forming patterned diamond thin films
US5186973A (en) * 1990-09-13 1993-02-16 Diamonex, Incorporated HFCVD method for producing thick, adherent and coherent polycrystalline diamonds films
US5527596A (en) * 1990-09-27 1996-06-18 Diamonex, Incorporated Abrasion wear resistant coated substrate product
JPH04240725A (ja) * 1991-01-24 1992-08-28 Sumitomo Electric Ind Ltd エッチング方法
DE69223534T2 (de) * 1991-03-22 1998-07-09 Shimadzu Corp Trockenätzverfahren und Anwendung davon
JP3123127B2 (ja) * 1991-07-22 2001-01-09 住友電気工業株式会社 電界効果型トランジスタ
US5221870A (en) * 1991-09-30 1993-06-22 Sumitomo Electric Industries, Ltd. Surface acoustic wave device
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
US5445712A (en) * 1992-03-25 1995-08-29 Sony Corporation Dry etching method
JP3104433B2 (ja) * 1992-10-16 2000-10-30 住友電気工業株式会社 ダイヤモンドのエッチング方法
US5474816A (en) * 1993-04-16 1995-12-12 The Regents Of The University Of California Fabrication of amorphous diamond films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100337580B1 (ko) * 1996-12-02 2002-10-11 닛본 덴기 가부시끼가이샤 반도체장치및그제조방법
KR100356476B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 다마신 공정에서 금속층간 절연막 형성 방법

Also Published As

Publication number Publication date
US5559367A (en) 1996-09-24
US5679269A (en) 1997-10-21
US5674355A (en) 1997-10-07
JP3184746B2 (ja) 2001-07-09
EP0696819A1 (en) 1996-02-14
TW275136B (ko) 1996-05-01
JPH0864591A (ja) 1996-03-08

Similar Documents

Publication Publication Date Title
KR960005853A (ko) Vlsi 및 ulsi 상호 접속 시스템용 다이아몬드상 탄소
KR100407542B1 (ko) 반도체 장치 및 그 제조 방법
US4735679A (en) Method of improving silicon-on-insulator uniformity
US6633074B2 (en) Integrated circuit wiring with low RC time delay
US6028015A (en) Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US5144411A (en) Method and structure for providing improved insulation in vlsi and ulsi circuits
KR970063568A (ko) 낮은 유전상수 비정질 플루오르 카본막과 그 준비 방법
EP0036144A1 (en) Method for selective reactive ion etching of silicon
EP1463067B1 (en) Method of forming an integrated circuit thin film resistor
US6797627B1 (en) Dry-wet-dry solvent-free process after stop layer etch in dual damascene process
CN112262227B (zh) 正形碳膜沉积
US6855638B2 (en) Process to pattern thick TiW metal layers using uniform and selective etching
US6424038B1 (en) Low dielectric constant microelectronic conductor structure with enhanced adhesion and attenuated electrical leakage
US6362094B1 (en) Hydrogenated silicon carbide as a liner for self-aligning contact vias
KR20010030170A (ko) 이중 물결무늬 구조를 포함하는 집적회로 제조방법
US6057230A (en) Dry etching procedure and recipe for patterning of thin film copper layers
KR100853360B1 (ko) 절연체 물질, 반도체 제품, 및 반도체 제품 제조 방법
US6657284B1 (en) Graded dielectric layer and method for fabrication thereof
US6972251B2 (en) Method for fabricating copper damascene structures in porous dielectric materials
Markert et al. Copper dry etching technique for ULSI interconnections
US6972492B2 (en) Method and structure to form capacitor in copper damascene process for integrated circuit devices
US8242017B2 (en) Method and structure for copper gap fill plating of interconnect structures for semiconductor integrated circuits
KR20220152671A (ko) 반도체 장치의 제조 방법
KR960026728A (ko) 직접회로에서의 금속배선 제조방법
KR20050084348A (ko) 반도체 디바이스 및 그 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 19990705

Effective date: 20000929

J2X1 Appeal (before the patent court)

Free format text: APPEAL AGAINST DECISION TO DECLINE REFUSAL

J302 Written judgement (patent court)

Free format text: JUDGMENT (PATENT COURT) FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20001101

Effective date: 20010816