JP3184746B2 - 半導体デバイスおよび相互接続構造の作製方法 - Google Patents

半導体デバイスおよび相互接続構造の作製方法

Info

Publication number
JP3184746B2
JP3184746B2 JP17377195A JP17377195A JP3184746B2 JP 3184746 B2 JP3184746 B2 JP 3184746B2 JP 17377195 A JP17377195 A JP 17377195A JP 17377195 A JP17377195 A JP 17377195A JP 3184746 B2 JP3184746 B2 JP 3184746B2
Authority
JP
Japan
Prior art keywords
layer
diamond
carbon
forming
carbon material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP17377195A
Other languages
English (en)
Other versions
JPH0864591A (ja
Inventor
ステファン・アラン・コーヘン
ダニエル・チャールズ・エデルステイン
アルフレッド・グリル
ジュリジュ・ロスティスラブ・パラシュザック
ヴィシュヌバイ・ヴィッタルバイ・パテル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH0864591A publication Critical patent/JPH0864591A/ja
Application granted granted Critical
Publication of JP3184746B2 publication Critical patent/JP3184746B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、電界効果トランジスタ
(FET)、相補型金属酸化物半導体(CMOS)また
はバイポーラ・デバイスのような集積回路チップ上に、
1つ以上のレベルの導体を分離する絶縁体を構成部品の
1つとして備える半導体デバイスに関する。種々の半導
体デバイスに用いられる本発明の絶縁体は、主に二酸化
シリコン膜から成る従来の絶縁体に比較して、より低い
誘電率を有する。さらに、本発明の絶縁体は、構造的に
等方性であり、その特性は、絶縁された半導体デバイス
の上部に配置された導体間の寄生容量とクロストークの
変動をさらに減少させる。
【0002】本発明の低誘電率の絶縁体は、VLSI
(Very Large ScaleIntegrat
ed)またはULSI(Ultra−Large Sc
ale Integrated)応用での使用に特に適
している。したがって、本発明はまた、相互接続構造を
形成する方法、およびこの方法によって作られた相互接
続構造に関する。
【0003】さらに本発明は、ダイヤモンド・ライク
(diamond−like)炭素層を含む適切な基板
からダイヤモンド炭素層を選択的にイオン・エッチング
する方法に向けられている。この方法は、内部にパター
ンをも含む、平坦化され、マルチレベルのメタライズさ
れた半導体構造の製造に用いられる。
【0004】
【従来の技術】硬度のゆえにダイヤモンド・ライク炭素
(DLC)膜とも呼ばれるアモルファス炭素(a−C)
膜は、半導体デバイスのコーティングとしての使用可能
性のために半導体製造の技術分野において多くの注目を
引いている。チップ・プロセス技術または熱的および機
械的に不良の環境で用いられるこれらタイプの膜にとっ
て、高温での高い熱安定性が必要とされる。
【0005】ダイヤモンド・ライク炭素膜は、微晶質相
を含むことができる準安定のアモルファス物質と定義さ
れる。ダイヤモンド・ライク炭素膜は、3.2以下の誘
電率を有し、さらに高い電気抵抗率と、高い耐摩耗性、
化学的不活性を有することを特徴としている。
【0006】ダイヤモンド・ライク炭素層がアモルファ
ス・マトリクスに微晶質相を含むことができるのに対
し、ダイヤモンド層は数10ミクロンまでの大きさの微
結晶を有する多結晶物質であるので、ダイヤモンド・ラ
イク炭素膜はダイヤモンド層と区別される。すなわち、
ダイヤモンド層は微晶質相を含まないが、ダイヤモンド
・ライク炭素膜は微晶質相を含んでいる。ダイヤモンド
・ライク炭素膜は、Aisenbergらによって最初
に堆積された(J.Appl.Phys.42,295
3(1971))。ダイヤモンド・ライク炭素膜の堆積
のこの最初の研究以来、直流または高周波プラズマ支援
炭素蒸着(plasma−assisted carb
on vapor deposition)、スパッ
タ、イオン・ビーム・スパッタのような種々の異なる技
術が用いられてきた。さらにまた、種々の炭素ベアリン
グ(carbon−bearing)原物質、すなわ
ち、固体、液体または気体の物質が用いられ、ダイヤモ
ンド・ライク炭素膜の硬度と熱安定性を改良した。
【0007】半導体チップは、トレースと呼ばれる金属
配線のパターンによってコンタクトが相互接続されるデ
バイスのアレイにより構成されている。VLSIチップ
において、これらの金属パターンは、多層化されてお
り、絶縁物質の層によって分離されている。金属配線パ
ターン間のレベル間接続は、絶縁物質の層を貫いてエッ
チングされているスルー・ホールによって行われる。一
般的なチップ構造は、1つ以上の配線レベルにより構成
されている。絶縁物質は、配線レベル間に用いられ、レ
ベルを分離する。回路コストと特性改善の必要性が増大
するにつれて、製造プロセスに対して半導体デバイスの
チップ構造の改良が絶え間なく要求される。
【0008】VLSIチップにおいて、絶縁物質は、一
般に約3.9〜約4.1の誘電率を有する二酸化シリコ
ンである。相互接続容量をさらに減少させるために、さ
らに低い誘電率(例えば、2.9〜3.4)を有するポ
リイミド膜が提案され、試された。しかしながら、幾つ
かのポリイミド膜は、誘電率の異方性に影響する構造的
異方性を有している。さらに、ポリイミド膜で構成され
た絶縁体は、アウト・オブ・プレーン(out−of−
plane)誘電率とは異なる、プレーナ(plana
r)誘電率をしばしば有する。すなわち、ポリイミド膜
は事実上異方性である。種々のポリイミド膜の異方性の
特性は、半導体チップの金属配線パターン間に大きな寄
生容量とクロストークを生じる。半導体チップの寄生容
量とクロストークを減少させるために、全方向に均一
な、すなわち等方性の低誘電率を有する改良された絶縁
物質が、現在開発されつつある。
【0009】その技術分野で現在用いられ、半導体デバ
イスの配線レベルを分離する絶縁物質にもかかわらず、
硬度があり、全方向に均一な比較的に低い誘電率を有す
る半導体デバイス用の絶縁物質を提供することが依然と
して必要とされている。均一な低誘電率を有するそのよ
うな硬質の絶縁物質は、高い市場性があり、種々の半導
体デバイスの製造に格別に有用であろう。
【0010】半導体デバイス製造の技術分野では、シリ
コン・ウエハは、SiO2 でコーティングされた、一般
にアルミニウム−銅合金の回路トレースおよびパッドで
メタライズされる。このプロセスは不規則なトポグラフ
ィを生じ、最終的に回路トレースおよびパッドまたは絶
縁に損傷を与える。それゆえ、複数の半導体デバイスを
正確にレベル対レベルに配置するために、回路トレース
またはパッドに損傷を与えることなく平らなトポグラフ
ィにする必要がある。
【0011】半導体デバイスを平らなトポグラフィにす
る1つの方法は、研磨スラリーと共にエッチング停止層
を用いて半導体デバイスの表面を平坦にすることであ
る。エッチング停止層および研磨スラリーは、よく知ら
れており、その技術分野において盛んに用いられてい
る。
【0012】例えば、Beyerらの米国特許第467
1852号明細書は、化学・機械的研磨と、LPCVD
(Low Pressure Chemical Va
por Deposition)によって700℃の温
度で堆積されたSi3 4 ブランケットとの組合せを用
いる、”bird’s heads”と呼ばれる不所望
のSiO2 突起の除去を開示している。Beyerらの
方法は、研磨パッドと研磨溶融化学の選択に依存してい
る。
【0013】Beyerらの米国特許第4944836
号明細書は、従来用いられていた水をベースとしたアル
ミナ・スラリーが、AlCu対SiO2 のエッチング・
レート比に関して不足しているのが分かったので、Si
3 4 エッチング停止層と共に用いられる化学・機械的
研磨スラリーを開示している。
【0014】全ての半導体構造は、700℃のような高
温レベルでの処理とは両立しない。例えば、回路を有す
るウエハのマルチレベル相互接続システムにおいては、
下側デバイスへの金属の拡散を防ぐため、約400℃以
下の温度に全ての処理工程を維持する必要がある。相互
接続の処理と両立する温度、すなわち約325℃の温度
で堆積された窒化シリコンは、”Back End O
f the Line”(BEOL)相互接続処理にお
いてエッチング停止層として有効に機能するためには硬
度が不十分であることが分かった。SiO2 より硬度の
大きい酸化アルミニウムAl2 3 は、おそらく研磨を
伴う化学反応のため、SiO2 よりも速いレートで研磨
され、効果のないエッチング停止物質になることが分か
った。
【0015】Jasoらの米国特許第5246884号
明細書は、メタライズされた平坦化半導体チップを製造
するためのエッチング停止層として通常のダイヤモンド
とダイヤモンド・ライク炭素物質を用いている。特に、
この文献は、(a)トポグラフィ構造が配置された平坦
な基板を与える工程と、(b)絶縁物質の第1の層で基
板とトポグラフィ構造を全体にコーティングする工程
と、(c)コンフォーマルなダイヤモンドまたはダイヤ
モンド・ライク炭素物質より成る第2の層で第1の層を
コーティングする工程と(ダイヤモンドまたはダイヤモ
ンド・ライク炭素は、CVDまたはスパッタによって約
75℃〜約350℃の温度範囲で堆積される)、(d)
トポグラフィ構造上の物質の層が、平坦な基板上の物質
よりも速いレートで除去されるように、スラリー中の研
磨パッドで化学・機械的に研磨する工程と、(e)全体
の平坦化がほぼ達成されたときに処理を停止する工程と
を含む半導体デバイスを平坦化する方法を開示してい
る。
【0016】その技術の現状にもかかわらず、半導体デ
バイスに損傷を与える前にエッチング・プロセスを終了
させる適切なエッチング停止層を開発する必要性が続い
ている。
【0017】
【発明が解決しようとする課題】本発明の1つの目的
は、集積回路チップ上のデバイスの1つ以上の導体レベ
ルを分離する絶縁体を含む改良された半導体デバイスを
提供することにある。
【0018】本発明のもう1つの目的は、本発明の1つ
以上のレベル間絶縁体を含む相互接続構造の製造方法を
提供することにある。
【0019】
【課題を解決するための手段】特に低誘電率を有するこ
とに関しては、従来技術の絶縁体よりも著しい改良を示
す本発明の絶縁体は、FETおよびCMOS応用のバッ
ク・エンド・コンタクト(back end cont
act)のための低誘電率レベル間絶縁体として、二酸
化シリコンまたは高分子膜の代わりに用いられるダイヤ
モンド・ライク炭素物質を含んでいる。本発明で用いら
れているダイヤモンド・ライク炭素物質は、水素添加ア
モルファス炭素,アモルファス炭素,アモルファス・ダ
イヤモンド,フッ素化水素添加アモルファス炭素,フッ
素化アモルファス炭素,フッ素化アモルファス・ダイヤ
モンドより成るグループから選択される。
【0020】ダイヤモンド・ライク炭素物質より成る本
発明の絶縁体は、構造的異方性を有しておらず、高い電
気抵抗率と高い耐摩耗性を有し、化学的に不活性である
ことを特徴としている。さらに、本発明で用いられる堆
積条件しだいで、絶縁体は、二酸化シリコンまたはポリ
イミド膜より成る絶縁体に匹敵する電流電圧(IV)特
性を有する。それゆえ、上述した特性のために、ダイヤ
モンド・ライク炭素物質より成る本発明の絶縁体は、二
酸化シリコンまたはポリイミド膜より成る従来技術のレ
ベル間絶縁体と比較して、より少ない寄生容量と減少し
たクロストークを有する。
【0021】さらに、本発明は、反応性イオン・エッチ
ング(RIE)方法および/または化学・機械的(ch
em−mech)方法によって、VLSIまたはULS
I半導体デバイスからアモルファス炭素またはダイヤモ
ンド層を選択的にエッチングし、応用に依存してパター
ンを有することのできる平坦化された相互接続構造を与
える方法に関する。本発明のこの態様によれば、Siを
ドープされたダイヤモンド・ライク炭素物質は、O2
IE停止層およびCF4 RIE停止層および/またはV
LSIまたはULSI配線システムに用いられる化学・
機械的停止層として機能し、特にSiO2 をベースとし
た、または高分子をベースとしたBEOL応用におい
て、エッチング停止層または研磨停止層として機能す
る。
【0022】前記Jasoらの米国特許明細書は、ダイ
ヤモンド・ライク炭素物質にSiをドープすることを意
図していないので、本発明でエッチング停止層または研
磨層として用いられているダイヤモンド・ライク炭素物
質は、Jasoらが用いているダイヤモンド・ライク炭
素物質と異なっていることに注意すべきである。Siで
ドープされたダイヤモンド・ライク炭素物質は、Jas
oらにより開示されたダイヤモンド・ライク炭素物質と
比較すれば、酸素プラズマ中でより遅いレートでエッチ
ングされることが強調される。したがって、本発明のS
iドープ・ダイヤモンド・ライク炭素物質を用いること
によって、半導体デバイスに損傷を与える前にエッチン
グまたは研磨プロセスを効果的に終了することができ
る。
【0023】
【実施例】本発明は、集積回路チップ上の1つ以上のレ
ベルの導体を分離する少なくとも1つの絶縁体層を構造
の一部として含む半導体デバイスに関する。さらに、本
発明は、前述の絶縁体の少なくとも1つを有する相互接
続構造と、適切な基板上に相互接続構造を形成する方法
とを与える。
【0024】本発明の最初の態様によれば、本発明の半
導体デバイスは、(a)露出した金属の第1の層を上面
に有する基板と、(b)前記基板の上面上に形成された
ダイヤモンド・ライク炭素の絶縁体層と、(c)前記絶
縁体層の上に複数の導体を形成するようにパターニング
された金属の第2の層とを備えている。
【0025】本発明の他の態様によれば、集積された半
導体デバイスに用いられる相互接続構造は、(a)露出
した金属の第1の領域と、酸化シリコンとダイヤモンド
・ライク炭素より成るグループから選択された露出した
絶縁体の第2の領域とを上面に有する基板と、(b)基
板の上面上に形成されたダイヤモンド・ライク炭素物質
の第1の層と、(c)ダイヤモンド・ライク炭素物質の
上に複数の導体を形成するようにパターニングされた金
属の第2の層と、(d)選択された第1の領域を1つ以
上の導体に電気的に接続する金属フィード・スルーとを
備えている。
【0026】以上に説明した集積半導体デバイスは、図
1に示されている。集積半導体デバイス10は、露出し
た金属の第1領域16と露出した絶縁体の第2の領域1
8より成る上面14を有する基板12を備えている。次
に、ダイヤモンド・ライク炭素物質の層20が、基板の
上面14上に堆積される。金属の第2の層22が、露出
した第1の領域16の上方に形成され、金属フィード・
スルー24を用いて、露出した金属の第1の領域16と
第2の金属層22とが接続されている。
【0027】本発明で用いられる適切な金属は、Al,
Cu,W,Ti,Taを含んでいる。また、これらの金
属の合金も本発明において用いられる。基板の露出した
第1の領域と金属の第2の層とを形成する金属、すなわ
ち、Al,Cu,W,Ti,Taまたはその合金は、そ
の技術分野においてよく知られた技術によって堆積され
る。例えば、金属は、スパッタまたは化学蒸着技術を用
いて堆積される。
【0028】本発明において絶縁物質として用いられる
ダイヤモンド・ライク炭素物質は、水素添加アモルファ
ス炭素,アモルファス・ダイヤモンド,フッ素化水素添
加アモルファス炭素,フッ素化アモルファス炭素,フッ
素化アモルファス・ダイヤモンドより成るグループから
選択される。
【0029】ダイヤモンド・ライク炭素物質は、その技
術分野においてよく知られた技術によって堆積される。
適切な堆積技術は、プラズマ支援化学蒸着(PACV
D)、スパッタ、イオンビーム堆積、レーザ・アブレー
ション等を含んでいる。これらの堆積技術のうち、ダイ
ヤモンド・ライク炭素物質のPACVDが好適である。
【0030】ダイヤモンド・ライク炭素物質を堆積する
ために本発明で用いられる反応条件パラメータおよび装
置は、Grill等の“Diamond Like C
arbon:Preparation,Propert
ies and Application”,IBM
J.Res.Develop.,34(1990)84
9、およびGrill等の“Diamond−Like
Carbon Deposited by DC P
ACVD”,Diamond Films andTe
chnology,1(1992)219に述べられて
いる。
【0031】フッ素化ダイヤモンド・ライク炭素物質が
用いられるときに、少なくとも1原子%のフッ素原子
が、ダイヤモンド・ライク炭素物質の炭素原子に共有結
合されるのが特に好適である。約10〜約40原子%の
フッ素原子が、ダイヤモンド・ライク炭素物質の炭素原
子に共有結合されるのがさらに好適である。
【0032】非フッ素化またはフッ素化ダイヤモンド・
ライク炭素物質が、シリコン(Si)またはゲルマニウ
ム(Ge)でさらにドープできることに注意すべきであ
る。本発明のこの実施例によれば、非フッ素化またはフ
ッ素化ダイヤモンド・ライク炭素物質は、xが約0〜約
25原子%の範囲にあるx原子パーセントのSiまたは
Geでドープされる。フッ素化ダイヤモンド・ライク炭
素物質が、約5〜約15原子%のSiまたはGeでドー
プされるならば、特に好適である。
【0033】図2は、基板32内の埋め込みドレインお
よびソース領域35と、本発明において開示される配線
によって接続されるポリシリコン・ゲート36とを有す
る一般的なCMOS構造を示している。
【0034】図3は、本発明に従って作られた集積回路
チップ上の1つ以上のレベルの導体を分離する絶縁体を
含む電界効果トランジスタ(FET)の断面図であり、
図2のソース領域を接触させる例を示している。特に図
3は、埋め込みドレインおよびソース領域34、多結晶
シリコン・ゲート領域36、リセスされた酸化物領域3
8を有する基板32を備えるFETデバイス30であ
る。さらにFETデバイス30は、CVD Wトレンチ
充填材40、二酸化シリコンまたは窒化シリコンのパッ
シベーション層41、Cu,AlまたはW相互接続部4
2,43、Ti,Ta,Wまたはこれらの化合物または
合金の金属ライナ44、W,AlまたはCuで充填され
たレベル間バイア45、ダイヤモンド・ライク炭素物質
46、ダイヤモンド・ライク炭素物質,二酸化シリコ
ン,窒化シリコン,窒化ボロンまたはこれらの化合物の
キャップ層47を備えている。FETデバイス30のダ
イヤモンド・ライク炭素物質46は、FETデバイスの
コンタクト・レベル42,43を分離する絶縁体の働き
をする。
【0035】ダイヤモンド・ライク炭素物質より成る本
発明の絶縁体は、全方向に均一である低誘電率を有す
る。それゆえ、本発明の絶縁体は、従来技術の絶縁体よ
りも著しい改良を示している。
【0036】本発明の他の態様によれば、上面に導電領
域を有する基板の表面に相互接続構造を作製する方法が
与えられる。本発明の方法によって作られるそのような
相互接続構造は、図3に示されている。
【0037】上面に導電領域を有する基板の表面に相互
接続構造を作製する本発明の方法は、(a)水素添加ア
モルファス炭素,アモルファス炭素,アモルファス・ダ
イヤモンド,フッ素化ダイヤモンド・ライク炭素より成
るグループから選択され、v原子パーセントのSiまた
はGeでドープされるダイヤモンド・ライク炭素物質の
第1の層を基板の上面上に形成する工程と、b)水素添
加アモルファス炭素,アモルファス炭素,アモルファス
・ダイヤモンド,フッ素化ダイヤモンド・ライク炭素よ
り成るグループから選択され、w原子パーセントのSi
またはGeでドープされるダイヤモンド・ライク炭素物
質の第2の層を前記第1の層の上に形成する工程と、
(c)水素添加アモルファス炭素,アモルファス炭素,
アモルファス・ダイヤモンド,フッ素化ダイヤモンド・
ライク炭素より成るグループから選択され、x原子パー
セントのSiまたはGeでドープされるダイヤモンド・
ライク炭素物質の第3の層を前記第2の層の上に形成す
る工程と、(d)水素添加アモルファス炭素,アモルフ
ァス炭素,アモルファス・ダイヤモンド,フッ素化ダイ
ヤモンド・ライク炭素より成るグループから選択され、
y原子パーセントのSiまたはGeでドープされるダイ
ヤモンド・ライク炭素物質の第4の層を前記第3の層の
上に形成する工程と、(e)水素添加アモルファス炭
素,アモルファス炭素,アモルファス・ダイヤモンド,
フッ素化ダイヤモンド・ライク炭素より成るグループか
ら選択され、z原子パーセントのSiまたはGeでドー
プされるダイヤモンド・ライク炭素物質の第5の層を前
記第4の層の上に形成する工程と、(f)前記導電領域
の内の選択されたものの上に開口部を有する第1のマス
クを前記第5の層の上に形成する工程と、(g)前記第
1のマスクを介して前記第2〜第5の層をエッチングす
る工程と、(h)前記第1のマスクを除去する工程と、
(i)前記導電領域の内の選択されたものを相互接続す
るための相互接続パターンを有する第2のマスクを前記
第5の層の上に形成する工程と、(j)前記第2のマス
クを介して前記第4および第5の層をエッチングする工
程と、(k)前記第2〜第5の層と第4および第5の層
の前記開口部を金属で充填する工程とを含んでいる。。
本発明の上記方法によれば、v,w,x,y,zは、同
じかまたは異なっており、0よりも大きく25以下の範
囲にある。v,w,x,y,zは、約5〜約15の範囲
がさらに好適である。
【0038】また、本発明は、酸化シリコン,窒化シリ
コン,またはそのような応用において一般的に用いられ
る他の誘電体物質による、1つ以上ではあるが全部では
ない前述のダイヤモンド・ライク炭素層の置き換えを意
図していることに注意すべきである。
【0039】前述したダイヤモンド・ライク炭素物質よ
り成る第1〜第5の層は、前に述べた堆積技術によって
基板の表面に堆積される。ダイヤモンド・ライク炭素物
質より成る第1〜第5の層を堆積するための好適な手段
は、PACVDである。
【0040】これらの層のPACVD堆積は、前述した
条件と同じ条件を用いて行われる。第1〜第5の層が、
シクロヘキサンを用いて堆積されるダイヤモンド・ライ
ク炭素により構成されるのが本発明の好適な実施例であ
る。そのような物質の使用は、それが3.2未満の誘電
率を持ち、事実上等方性の物質になるので特に重要であ
る。本発明の集積構造の第1〜第5の層の厚さは、応用
に従って変化する。
【0041】本発明において用いられるマスクは、この
技術分野において一般的に用いられるフォトマスクを含
んでいる。本発明の方法によれば、第5の層の上に形成
される第1のマスクが、基板上に存在する、少なくとも
1つの導電領域上に開口部を有するのが、特に好適であ
る。さらに、第5の層の上に形成された第2のマスク
が、相互接続パターンを有するのが、この方法の他の好
適な実施例でる。この相互接続パターンは、本発明にお
いて用いられ、その構造の導電領域を互いに相互接続す
る。
【0042】マスクの露出領域と堆積層のエッチング
は、その技術分野でよく知られている反応性イオン・エ
ッチング・プロセスを用いて、O2 プラズマ処理によっ
て行われる。また、エッチングは、CF4 プラズマを用
いて行われる。このエッチング・プロセスは、本発明の
相互接続構造の第2〜第5の層と第4および第5の層に
開口部を形成する。
【0043】本発明の相互接続構造に形成されているこ
れらの開口部は、Al,Cu,Ta,Ti,Nb,W,
Crより成るグループから選択される金属で充填され
る。また、上述した金属の化合物または合金も、使用で
きる。これらの金属の内の1つの金属の化合物が用いら
れるならば、この化合物は、上述した金属の内の1つの
金属の窒化物またはケイ化物/窒化物であるのが好適で
ある。
【0044】本発明の相互接続構造を作製する本方法
は、金属および第5の層の化学・機械的(chem−m
ech)研磨の工程をさらに含むことに注意すべきであ
る。化学・機械的研磨は、半導体デバイスの表面を平坦
にするための技術分野においてよく知られた方法であ
る。そのような方法は、例えばJaso等の米国特許第
5246884号明細書に開示されている。
【0045】本発明の他の態様によれば、集積回路チッ
プに用いられる相互接続構造が与えられる。特に本発明
の相互接続構造は、(a)第1の導電領域を上面に有す
る基板と、(b)水素添加アモルファス炭素,アモルフ
ァス炭素,アモルファス・ダイヤモンド,フッ素化ダイ
ヤモンド・ライク炭素より成るグループから選択され、
v原子パーセントのSiまたはGeでドープされるダイ
ヤモンド・ライク炭素物質の第1の層と、(c)水素添
加アモルファス炭素,アモルファス炭素,アモルファス
・ダイヤモンド,フッ素化ダイヤモンド・ライク炭素よ
り成るグループから選択され、w原子パーセントのSi
またはGeでドープされるダイヤモンド・ライク炭素物
質の第2の層とを備えている。vおよびwは、同一であ
るかまたは異なっており、0よりも大きく25以下であ
り、より好適には、vは約5〜約15であり、wは約2
〜約15である。さらに、本発明の相互接続構造の第2
の層は、第2の層上に形成された第1の金属の第1の相
互接続パターンを有しており、第1および第2の層は、
第1の導電領域と第1の相互接続パターンの内の選択さ
れたものを相互接続する第2の金属のスタッドを有して
いる。
【0046】本発明の相互接続構造に存在する第1およ
び第2の金属層は、Al,Cu,Wより成るグループか
ら選択される金属である。前述した金属の合金もまた本
発明で使用できる。
【0047】従って、本発明の相互接続構造は、第1の
相互接続パターンを有する第1の層の側壁と第1の金属
との間に配置される第3の金属層をさらに備えることが
できる。第3の金属層を形成する金属は、Al,Cu,
Wおよびこれらの合金より成るグループから選択され
る。
【0048】本発明の他の態様によれば、ダイヤモンド
・ライク炭素物質を選択的にエッチングする方法が与え
られる。特に本発明の方法は、(a)上面を有する基板
を選択する工程と、(b)前記基板の前記上面にv原子
%のSiまたはGeでドープされたダイヤモンド・ライ
ク炭素物質の第1の層を形成する工程と、(c)第1の
層の上にダイヤモンド・ライク炭素物質の第2の層を形
成する工程と、(d)第2の層の上にパターン層を形成
する工程と、(e)O2 を含むガスを導入する工程と、
(f)パターン層を介して露出した第2の層を反応性イ
オン・エッチングする工程と、(g)第1の層をエッチ
ングする前に反応性イオン・エッチング工程を終了する
工程とを含んでいる。本発明において、vは約0.1〜
約25であり、より好適には、vは約5〜約15であ
る。
【0049】ダイヤモンド・ライク炭素物質を堆積する
ために用いられる装置,材料,条件は、前述されてい
る。
【0050】SiまたはGeでドープされるこの第1の
ダイヤモンド・ライク炭素層が、そのような物質を用い
ない従来のエッチング停止層よりもさらに大きなエッチ
ング抵抗をO2 プラズマに与えることが強調される。さ
らに、従来技術で述べられたダイヤモンド・ライク炭素
膜は、いくつかの応用においては不満足である高エッチ
ング・レートを有している。エッチング・レートを低下
させ、それゆえエッチング停止層または研磨停止層とし
てのダイヤモンド・ライク炭素の特性を改善するため
に、本発明においてダイヤモンド・ライク炭素はSiで
ドープされる。
【0051】O2 を用いる反応性イオン・エッチング
は、その技術分野においてよく知られた技術を用いて行
われる。例えば、O2 アッシング(ashing)は、
約1〜約1000mTorrの圧力で、約0.1〜約2
W/cm2 の電力密度を用いて第2の水素添加炭素層を
除去するために用いられる。約5〜約1000nm/分
の除去レートは、これらの条件を用いて得られる。
【0052】図4は、本発明の方法に従って作られたU
LSI相互接続構造の断面図である。ULSI相互接続
構造50は、基板52と、Cu相互接続部またはスタッ
ド54と、Taライナ56と、ダイヤモンド・ライク炭
素レベル間およびレベル内誘電体層58と、Siドープ
・ダイヤモンド・ライク炭素RIE停止および障壁層6
0とを備えている。
【0053】また、本発明は、SiO2 にパターンを形
成する方法を与え、その方法は、(a)上面を有する基
板を選択する工程と、(b)前記基板上にダイヤモンド
・ライク炭素物質の層を形成する工程と、(c)前記ダ
イヤモンド・ライク炭素物質の層の上にSiO2 層を形
成する工程と、(d)SiO2 層の上にパターン層を形
成する工程と、(e)CF4 のようなフッ素を含むガス
を導入する工程と、(f)パターン層を介して露出した
SiO2 層を反応性イオン・エッチングする工程と、
(g)前記物質の層、すなわちダイヤモンド・ライク炭
素層をエッチングする前に反応性イオン・エッチング工
程を終了する工程とを含んでいる。
【0054】基板にダイヤモンド・ライク炭素膜を堆積
するために用いられる条件は、前述した。SiO2 は、
その技術分野においてよく知られた方法を用いて堆積さ
れる。例えば、本発明においては、SiO2 層は、CV
D法を用いて堆積される。CF4 のようなフッ素含有ガ
スを用いる反応性イオン・エッチングもまた、その技術
分野においてよく知られた方法を用いて行われる。
【0055】図5は、ULSI配線システムの断面図で
あり、ULSI配線システム70は、基板72と、エッ
チング停止層としてのダイヤモンド層炭素膜74と、S
iO2 または高分子層76と、SiO2 または高分子層
76に埋め込まれたAl,WまたはCu相互接続部また
はスタッド78とを備えている。また、このULSI配
線システムは、Cu相互接続部またはスタッド78が用
いられるときには、TaまたはTaNライナ80を有し
ている。以上に述べた本発明の方法を用いて、図5に示
される平坦化されたULSI配線システムが与えられ
る。
【0056】まとめとして、本発明の構成に関して以下
の事項を開示する。 (1)集積回路半導体デバイス上の1つ以上のレベルの
導体を分離する絶縁体を有する半導体デバイスであっ
て、露出した金属の第1の層を上面に有する基板と、前
記基板の前記上面上に形成されたダイヤモンド・ライク
炭素物質の絶縁体層と、前記絶縁体層上に複数の導体を
形成するようにパターニングされた金属の第2の層と、
を備える半導体デバイス。 (2)前記露出した第1の層の金属が、Al,Cu,
W,Ta,Tiおよびこれらの合金より成るグループか
ら選択される少なくとも1つの金属である上記(1)に
記載の半導体デバイス。 (3)前記ダイヤモンド・ライク炭素物質が、水素添加
アモルファス炭素,アモルファス炭素,アモルファス・
ダイヤモンド,フッ素化水素添加アモルファス炭素,フ
ッ素化アモルファス炭素,フッ素化アモルファス・ダイ
ヤモンドより成るグループから選択される上記(1)に
記載の半導体デバイス。 (4)集積半導体デバイスに用いられる相互接続構造で
あって、露出した金属の第1の領域と、SiO2 および
ダイヤモンド・ライク炭素物質より成るグループから選
択される露出した絶縁体の第2の領域とを上面に有する
基板と、前記基板の前記上面上に形成されたダイヤモン
ド・ライク炭素物質の第1の層と、前記第1の層上に複
数の導体を形成するようにパターニングされた金属の第
2の層と、選択された前記第1の領域と前記複数の導体
の内の1つ以上の導体とを電気的に接続する金属フィー
ド・スルーと、を備える相互接続構造。 (5)前記露出した第1の領域の金属が、Al,Cu,
W,Ta,Tiおよびこれらの合金より成るグループか
ら選択される少なくとも1つの金属である上記(4)に
記載の相互接続構造。 (6)前記ダイヤモンド・ライク炭素物質が、水素添加
アモルファス炭素,アモルファス炭素,アモルファス・
ダイヤモンド,フッ素化水素添加アモルファス炭素,フ
ッ素化アモルファス炭素,フッ素化アモルファス・ダイ
ヤモンドより成るグループから選択される上記(4)に
記載の相互接続構造。 (7)集積回路チップに用いられる相互接続構造であっ
て、第1の導電領域を上面に有する基板と、水素添加ア
モルファス炭素,アモルファス炭素,アモルファス・ダ
イヤモンド,フッ素化ダイヤモンド・ライク炭素より成
るグループから選択され、v原子パーセントのSiまた
はGeでドープされるダイヤモンド・ライク炭素物質の
第1の層と、水素添加アモルファス炭素,アモルファス
炭素,アモルファス・ダイヤモンド,フッ素化ダイヤモ
ンド・ライク炭素より成るグループから選択され、w原
子パーセントのSiまたはGeでドープされるダイヤモ
ンド・ライク炭素物質の第2の層とを備え、前記vおよ
びwは、同一かまたは異なっており、25以下の範囲内
にあり、前記第2の層は、前記第2の層上に形成された
第1の金属の第1の相互接続パターンを有し、前記第1
および第2の層は、前記第1の導電領域および前記第1
の相互接続パターンの内の選択されたものを相互接続す
る第2の金属のスタッドを有する、相互接続構造。 (8)前記vが5〜15であり、前記wが2〜15であ
る上記(7)に記載の相互接続構造。 (9)上面に導電領域を有する基板上に相互接続構造を
作製する方法であって、水素添加アモルファス炭素,ア
モルファス炭素,アモルファス・ダイヤモンド,フッ素
化ダイヤモンド・ライク炭素より成るグループから選択
され、v原子パーセントのSiまたはGeでドープされ
るダイヤモンド・ライク炭素物質の第1の層を前記基板
の上面に形成する工程と、水素添加アモルファス炭素,
アモルファス炭素,アモルファス・ダイヤモンド,フッ
素化ダイヤモンド・ライク炭素より成るグループから選
択され、w原子パーセントのSiまたはGeでドープさ
れるダイヤモンド・ライク炭素物質の第2の層を前記第
1の層の上に形成する工程と、水素添加アモルファス炭
素,アモルファス炭素,アモルファス・ダイヤモンド,
フッ素化ダイヤモンド・ライク炭素より成るグループか
ら選択され、x原子パーセントのSiまたはGeでドー
プされるダイヤモンド・ライク炭素物質の第3の層を前
記第2の層の上に形成する工程と、水素添加アモルファ
ス炭素,アモルファス炭素,アモルファス・ダイヤモン
ド,フッ素化ダイヤモンド・ライク炭素より成るグルー
プから選択され、y原子パーセントのSiまたはGeで
ドープされるダイヤモンド・ライク炭素物質の第4の層
を前記第3の層の上に形成する工程と、水素添加アモル
ファス炭素,アモルファス炭素,アモルファス・ダイヤ
モンド,フッ素化ダイヤモンド・ライク炭素より成るグ
ループから選択され、z原子パーセントのSiまたはG
eでドープされるダイヤモンド・ライク炭素物質の第5
の層を前記第4の層の上に形成する工程と、前記導電領
域の内の選択されたものの上に開口部を有する第1のマ
スクを前記第5の層の上に形成する工程と、前記第1の
マスクを介して前記第5〜第1の層をエッチングする工
程と、前記第1のマスクを除去する工程と、前記導電領
域の内の選択されたものを相互接続するための相互接続
パターンを有する第2のマスクを前記第5の層の上に形
成する工程と、前記第2のマスクを介して前記第5およ
び第4の層をエッチングする工程と、前記第1〜第5の
層と第4および第5の層の前記開口部を金属で充填する
工程とを含み、前記v,w,x,y,zは、同一かまた
は異なっており、25以下の範囲にある、相互接続構造
の作製方法。 (10)ダイヤモンド・ライク炭素を選択的にエッチン
グする方法であって、上面を有する基板を選択する工程
と、前記基板の前記上面にvが約0.1〜約25である
v原子%のSiまたはGeでドープされたダイヤモンド
・ライク炭素の第1の層を形成する工程と、前記第1の
層の上にダイヤモンド・ライク炭素の第2の層を形成す
る工程と、前記第2の層の上にパターン層を形成する工
程と、O2 を含むガスを導入する工程と、前記パターン
層を介して露出した前記第2の層を反応性イオン・エッ
チングする工程と、前記第1の層をエッチングする前に
前記反応性イオン・エッチング工程を終了する工程と、
を含むエッチング方法。 (11)前記vが約5〜約15である上記(10)に記
載のエッチング方法。 (12)SiO2 にパターンを形成する方法であって、
上面を有する基板を選択する工程と、前記基板の前記上
面にダイヤモンド・ライク炭素物質の層を形成する工程
と、前記ダイヤモンド・ライク炭素物質の層の上にSi
2 層を形成する工程と、前記SiO2 層の上にパター
ン層を形成する工程と、フッ素を含むガスを導入する工
程と、前記パターン層を介して露出した前記SiO2
を反応性イオン・エッチングする工程と、前記ダイヤモ
ンド・ライク炭素物質の層をエッチングする前に前記反
応性イオン・エッチング工程を終了する工程と、を含む
パターン形成方法。
【0057】
【発明の効果】以上述べたように、半導体デバイスにお
けるダイヤモンド・ライク炭素物質の使用は、そのよう
な物質がデバイスの寄生容量とクロストークを減少させ
るので、技術の進歩を促す。さらに、ダイヤモンド・ラ
イク炭素物質は、全方向において均一な低い誘電率を有
する。さらに、ダイヤモンド・ライク炭素が硬質物質で
あるので、Siでドープされたダイヤモンド・ライク炭
素を含む層は、平坦化された半導体デバイスを作るとき
に用いられる有効なエッチング停止層または研磨層とし
て用いることができる。
【図面の簡単な説明】
【図1】半導体デバイスの2つのレベルを分離する絶縁
物質としてダイヤモンド・ライク炭素層を含む半導体デ
バイスの断面図である。
【図2】CMOSデバイスの一般的な例を示す図であ
る。ゲート領域,ドレイン領域,ソース領域は、本発明
で述べられている配線に電気的に接続されなければなら
ない。
【図3】第1および第2配線誘電体層としてダイヤモン
ド・ライク炭素膜を含む、図2に示されるCMOS構造
の電界効果トランジスタの断面図である。これは、図2
に示される構造のどの部分が本発明の配線構造によって
接触されるかを示す一例である。
【図4】ダイヤモンド・ライク炭素レベル間およびレベ
ル内誘電体層を含む本発明のULSI相互接続システム
の断面図である。
【図5】CF4 またはO2 RIE停止層としてプラズマ
堆積ダイヤモンド・ライク炭素膜を含むULSI配線シ
ステムの断面図である。
【符号の説明】
10 半導体デバイス 12,32,52,72 基板 14 上面 16 第1の露出領域 18 第2の露出領域 20,46 ダイヤモンド・ライク炭素物質層 22 第2の金属層 24 金属フィード・スルー 30 FETデバイス 34,35 ドレインおよびソース領域 36 ポリ・シリコンゲート 38 酸化物領域 40 トレンチ充填材 41 パッシベーション層 42,43 相互接続部 44 金属ライナ 45 レベル間バイア 47 キャップ層 50 ULSI相互接続構造 54,78 相互接続部またはスタッド 56,80 ライナ 58 誘電体層 60 RIE停止層および障壁層 70 ULSI配線システム 74 ダイヤモンド層炭素膜 76 SiO2 または高分子層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ダニエル・チャールズ・エデルステイン アメリカ合衆国 ニューヨーク州 ニュ ー ロシェル グラマーシー プレイス 15 (72)発明者 アルフレッド・グリル アメリカ合衆国 ニューヨーク州 ホワ イト プレインズ オーバールック ロ ード 85 (72)発明者 ジュリジュ・ロスティスラブ・パラシュ ザック アメリカ合衆国 ニューヨーク州 プレ ザントヴィル メイプル ヒル 45 (72)発明者 ヴィシュヌバイ・ヴィッタルバイ・パテ ル アメリカ合衆国 ニューヨーク州 ヨー クタウン ウイロウェイ ストリート 2289 (56)参考文献 特開 平1−196833(JP,A) 特開 平2−23639(JP,A) 特開 平1−23991(JP,A) 特開 平7−69791(JP,A) 特開 昭61−251158(JP,A) 特開 平1−196828(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/314 H01L 21/768 C01B 1/00 - 31/36

Claims (5)

    (57)【特許請求の範囲】
  1. 【請求項1】集積回路チップに用いられる相互接続構造
    であって、 第1の導電領域を上面に有する基板と、 水素添加アモルファス炭素、アモルファス炭素、アモル
    ファス・ダイヤモンド、およびフッ素化ダイヤモンド・
    ライク炭素より成るグループから選択され、v原子パー
    セントのSiまたはGeでドープされるダイヤモンド・
    ライク炭素物質の第1の層と、 水素添加アモルファス炭素、アモルファス炭素、アモル
    ファス・ダイヤモンド、およびフッ素化ダイヤモンド・
    ライク炭素より成るグループから選択され、w原子パー
    セントのSiまたはGeでドープされるダイヤモンド・
    ライク炭素物質の第2の層とを備え、 前記vおよびwは、同一かまたは異なっており、25以
    下の範囲内にあり、 前記第2の層は、前記第2の層上に形成された第1の金
    属の第1の相互接続パターンを有し、 前記第1および第2の層は、前記第1の導電領域および
    前記第1の相互接続パターンの内の選択されたものを相
    互接続する第2の金属のスタッドを有する、 相互接続構造。
  2. 【請求項2】前記vが5〜15であり、前記wが2〜1
    5である請求項1記載の相互接続構造。
  3. 【請求項3】上面に導電領域を有する基板上に相互接続
    構造を作製する方法であって、 v原子パーセントのSiまたはGeでドープされるダイ
    ヤモンド・ライク炭素物質の第1の層を前記基板の上面
    に形成する工程と、 w原子パーセントのSiまたはGeでドープされるダイ
    ヤモンド・ライク炭素物質の第2の層を前記第1の層の
    上に形成する工程と、 x原子パーセントのSiまたはGeでドープされるダイ
    ヤモンド・ライク炭素物質の第3の層を前記第2の層の
    上に形成する工程と、 y原子パーセントのSiまたはGeでドープされるダイ
    ヤモンド・ライク炭素物質の第4の層を前記第3の層の
    上に形成する工程と、 z原子パーセントのSiまたはGeでドープされるダイ
    ヤモンド・ライク炭素物質の第5の層を前記第4の層の
    上に形成する工程と、 前記導電領域の内の選択されたものの上に開口部を有す
    る第1のマスクを前記第5の層の上に形成する工程と、 前記第1のマスクを介して前記第5〜第1の層をエッチ
    ングする工程と、 前記第1のマスクを除去する工程と、 前記導電領域の内の選択されたものを相互接続するため
    の相互接続パターンを有する第2のマスクを前記第5の
    層の上に形成する工程と、 前記第2のマスクを介して前記第5および第4の層をエ
    ッチングする工程と、 前記第1〜第5の層と第4および第5の層の前記開口部
    を金属で充填する工程とを含み、 前記v、w、x、y、zは、同一かまたは異なってお
    り、25以下の範囲にある、相互接続構造の作製方法。
  4. 【請求項4】ダイヤモンド・ライク炭素を選択的にエッ
    チングする方法であって、 上面を有する基板を選択する工程と、 前記基板の前記上面にvが約0.1〜約25であるv原
    子%のSiまたはGeでドープされたダイヤモンド・ラ
    イク炭素の第1の層を形成する工程と、 前記第1の層の上にダイヤモンド・ライク炭素の第2の
    層を形成する工程と、 前記第2の層の上にパターン層を形成する工程と、 O2 を含むガスを導入する工程と、 前記パターン層を介して露出した前記第2の層を反応性
    イオン・エッチングする工程と、 前記第1の層をエッチングする前に前記反応性イオン・
    エッチング工程を終了する工程と、 を含むエッチング方法。
  5. 【請求項5】前記vが約5〜約15である請求項4記載
    のエッチング方法。
JP17377195A 1994-07-12 1995-07-10 半導体デバイスおよび相互接続構造の作製方法 Expired - Fee Related JP3184746B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/273,689 US5559367A (en) 1994-07-12 1994-07-12 Diamond-like carbon for use in VLSI and ULSI interconnect systems
US273689 1994-07-12

Publications (2)

Publication Number Publication Date
JPH0864591A JPH0864591A (ja) 1996-03-08
JP3184746B2 true JP3184746B2 (ja) 2001-07-09

Family

ID=23045003

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17377195A Expired - Fee Related JP3184746B2 (ja) 1994-07-12 1995-07-10 半導体デバイスおよび相互接続構造の作製方法

Country Status (5)

Country Link
US (3) US5559367A (ja)
EP (1) EP0696819A1 (ja)
JP (1) JP3184746B2 (ja)
KR (1) KR960005853A (ja)
TW (1) TW275136B (ja)

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2748879B2 (ja) * 1995-02-23 1998-05-13 日本電気株式会社 フッ素化非晶質炭素膜材料の製造方法
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5798302A (en) * 1996-02-28 1998-08-25 Micron Technology, Inc. Low friction polish-stop stratum for endpointing chemical-mechanical planarization processing of semiconductor wafers
US5942328A (en) * 1996-02-29 1999-08-24 International Business Machines Corporation Low dielectric constant amorphous fluorinated carbon and method of preparation
JP2956571B2 (ja) * 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US6017814A (en) * 1996-03-13 2000-01-25 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
JPH09289250A (ja) * 1996-04-24 1997-11-04 Nec Corp 半導体装置の製造方法
US5840427A (en) * 1996-05-21 1998-11-24 Teledyne Industries Incorporated Method for making corrosion resistant electrical components
US5858477A (en) 1996-12-10 1999-01-12 Akashic Memories Corporation Method for producing recording media having protective overcoats of highly tetrahedral amorphous carbon
US5731624A (en) * 1996-06-28 1998-03-24 International Business Machines Corporation Integrated pad and fuse structure for planar copper metallurgy
WO1998006885A1 (fr) * 1996-08-15 1998-02-19 Citizen Watch Co., Ltd. Procede d'elimination d'un film de carbone dur forme sur la surface circonferentielle interne d'une douille de guidage
US5744865A (en) * 1996-10-22 1998-04-28 Texas Instruments Incorporated Highly thermally conductive interconnect structure for intergrated circuits
JP3409984B2 (ja) * 1996-11-14 2003-05-26 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
KR100272260B1 (ko) * 1996-11-27 2000-11-15 김영환 유사다이아몬드를 이용한 박막트랜지스터 및 그의 제조방법
JP3228183B2 (ja) * 1996-12-02 2001-11-12 日本電気株式会社 絶縁膜ならびにその絶縁膜を有する半導体装置とその製造方法
GB2331846B (en) * 1996-12-02 1999-11-10 Nec Corp A semiconductor device and method for its manufacture
JP2910713B2 (ja) * 1996-12-25 1999-06-23 日本電気株式会社 半導体装置の製造方法
EP0856825B1 (en) * 1997-01-31 2004-11-17 STMicroelectronics S.r.l. Process for manufacturing integrated semiconductor devices comprising a chemoresistive gas microsensor
JP3050165B2 (ja) * 1997-05-29 2000-06-12 日本電気株式会社 半導体装置およびその製造方法
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
JP3031301B2 (ja) * 1997-06-25 2000-04-10 日本電気株式会社 銅配線構造およびその製造方法
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6071597A (en) * 1997-08-28 2000-06-06 3M Innovative Properties Company Flexible circuits and carriers and process for manufacture
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
JP3469761B2 (ja) * 1997-10-30 2003-11-25 東京エレクトロン株式会社 半導体デバイスの製造方法
JP3429171B2 (ja) * 1997-11-20 2003-07-22 東京エレクトロン株式会社 プラズマ処理方法及び半導体デバイスの製造方法
WO1999027575A1 (fr) * 1997-11-20 1999-06-03 Tokyo Electron Limited Procede de formation d'un film par plasma
EP1042793A1 (de) * 1997-12-16 2000-10-11 Infineon Technologies AG Barriereschicht für kupfermetallisierung
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
DE69834660T2 (de) 1997-12-27 2007-05-03 Tokyo Electron Ltd. Borhältiger fluorkohlenstoff-film und herstellungsmethode
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6312766B1 (en) 1998-03-12 2001-11-06 Agere Systems Guardian Corp. Article comprising fluorinated diamond-like carbon and method for fabricating article
US6147407A (en) * 1998-03-27 2000-11-14 Lucent Technologies Inc. Article comprising fluorinated amorphous carbon and process for fabricating article
US7211496B1 (en) * 1998-04-22 2007-05-01 International Business Machines Corporation Freestanding multiplayer IC wiring structure
US6097092A (en) * 1998-04-22 2000-08-01 International Business Machines Corporation Freestanding multilayer IC wiring structure
US6448655B1 (en) 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
JP4355039B2 (ja) 1998-05-07 2009-10-28 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6130472A (en) 1998-07-24 2000-10-10 International Business Machines Corporation Moisture and ion barrier for protection of devices and interconnect structures
US6265779B1 (en) 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US6607908B1 (en) * 1998-10-15 2003-08-19 Toyo Kohan Co., Ltd. Supports for immobilizing DNA or the like
US6573538B2 (en) 1998-11-12 2003-06-03 International Business Machines Corporation Semiconductor device with internal heat dissipation
US6331481B1 (en) 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
US6303047B1 (en) 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6312808B1 (en) 1999-05-03 2001-11-06 Guardian Industries Corporation Hydrophobic coating with DLC & FAS on substrate
US6368664B1 (en) 1999-05-03 2002-04-09 Guardian Industries Corp. Method of ion beam milling substrate prior to depositing diamond like carbon layer thereon
US6277480B1 (en) 1999-05-03 2001-08-21 Guardian Industries Corporation Coated article including a DLC inclusive layer(s) and a layer(s) deposited using siloxane gas, and corresponding method
US6280834B1 (en) 1999-05-03 2001-08-28 Guardian Industries Corporation Hydrophobic coating including DLC and/or FAS on substrate
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6475573B1 (en) 1999-05-03 2002-11-05 Guardian Industries Corp. Method of depositing DLC inclusive coating on substrate
US6261693B1 (en) 1999-05-03 2001-07-17 Guardian Industries Corporation Highly tetrahedral amorphous carbon coating on glass
US6447891B1 (en) 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6461731B1 (en) 1999-05-03 2002-10-08 Guardian Industries Corp. Solar management coating system including protective DLC
US6491987B2 (en) 1999-05-03 2002-12-10 Guardian Indusries Corp. Process for depositing DLC inclusive coating with surface roughness on substrate
US6335086B1 (en) 1999-05-03 2002-01-01 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6284377B1 (en) 1999-05-03 2001-09-04 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6465159B1 (en) 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
US6573565B2 (en) * 1999-07-28 2003-06-03 International Business Machines Corporation Method and structure for providing improved thermal conduction for silicon semiconductor devices
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6756674B1 (en) * 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6423628B1 (en) 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
US6316354B1 (en) 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
KR20010061564A (ko) * 1999-12-28 2001-07-07 박종섭 기상 초저유전체를 위한 메탈 라인 사이의 실링 산화물
KR100356476B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 다마신 공정에서 금속층간 절연막 형성 방법
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6316734B1 (en) 2000-03-07 2001-11-13 3M Innovative Properties Company Flexible circuits with static discharge protection and process for manufacture
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
CN1224092C (zh) * 2000-04-28 2005-10-19 东京毅力科创株式会社 具有低介电膜的半导体器件及其制造方法
US6506678B1 (en) 2000-05-19 2003-01-14 Lsi Logic Corporation Integrated circuit structures having low k porous aluminum oxide dielectric material separating aluminum lines, and method of making same
US6348395B1 (en) * 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
KR100615410B1 (ko) * 2000-08-02 2006-08-25 인터내셔널 비지네스 머신즈 코포레이션 저 유전 상수 다상 물질 및 그 증착 방법
DE10042932C2 (de) * 2000-08-31 2002-08-29 Infineon Technologies Ag Verfahren zur Herstellung eines Metallkontaktes in einem Dielektrikum
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6368924B1 (en) * 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6406975B1 (en) * 2000-11-27 2002-06-18 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap shallow trench isolation (STI) structure
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6569580B2 (en) 2001-03-13 2003-05-27 Diverging Technologies, Inc. Binary and phase-shift photomasks
US6664026B2 (en) * 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6815620B2 (en) * 2001-03-29 2004-11-09 3M Innovative Properties Company Flexible circuit with electrostatic damage limiting feature
US6459043B1 (en) 2001-03-29 2002-10-01 3M Innovative Properties Company Flexible circuit with electrostatic damage limiting feature and method of manufacture
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6736984B2 (en) 2001-05-17 2004-05-18 Honeywell International Inc. Non-mechanical fabrication of carbon-containing work pieces
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6995954B1 (en) 2001-07-13 2006-02-07 Magnecomp Corporation ESD protected suspension interconnect
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
DE10144269A1 (de) * 2001-09-08 2003-03-27 Bosch Gmbh Robert Sensorelement zur Erfassung einer physikalischen Messgröße zwischen tribologisch hoch beanspruchten Körpern
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6740579B2 (en) * 2002-06-18 2004-05-25 Intel Corporation Method of making a semiconductor device that includes a dual damascene interconnect
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
JP3779243B2 (ja) * 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
US6989332B1 (en) * 2002-08-13 2006-01-24 Advanced Micro Devices, Inc. Ion implantation to modulate amorphous carbon stress
US7501330B2 (en) * 2002-12-05 2009-03-10 Intel Corporation Methods of forming a high conductivity diamond film and structures formed thereby
US6805431B2 (en) 2002-12-30 2004-10-19 Lexmark International, Inc. Heater chip with doped diamond-like carbon layer and overlying cavitation layer
EP1676300B1 (en) * 2003-10-03 2014-10-01 Applied Materials, Inc. Method for annealing a substrate comprising an absorber layer
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US7489493B2 (en) * 2003-12-01 2009-02-10 Magnecomp Corporation Method to form electrostatic discharge protection on flexible circuits using a diamond-like carbon material
JP4993607B2 (ja) * 2005-06-20 2012-08-08 国立大学法人東北大学 層間絶縁膜および配線構造と、それらの製造方法
US7572482B2 (en) 2006-04-14 2009-08-11 Bae Systems Information And Electronic Systems Integration Inc. Photo-patterned carbon electronics
US20070269646A1 (en) * 2006-05-18 2007-11-22 Haverty Michael G Bond termination of pores in a porous diamond dielectric material
DE102006032796B4 (de) * 2006-07-14 2011-03-24 Infineon Technologies Ag Verfahren zum Herstellen eines Halbleiter-Bauelements
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
JP5154140B2 (ja) * 2006-12-28 2013-02-27 東京エレクトロン株式会社 半導体装置およびその製造方法
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7846767B1 (en) 2007-09-06 2010-12-07 Chien-Min Sung Semiconductor-on-diamond devices and associated methods
US8008095B2 (en) * 2007-10-03 2011-08-30 International Business Machines Corporation Methods for fabricating contacts to pillar structures in integrated circuits
US8013340B2 (en) * 2008-09-30 2011-09-06 Infineon Technologies Ag Semiconductor device with semiconductor body and method for the production of a semiconductor device
US20100117725A1 (en) 2008-11-12 2010-05-13 Infineon Technologies Austria Ag Semiconductor diode
TWI380421B (en) * 2009-03-13 2012-12-21 Advanced Semiconductor Eng Method for making silicon wafer having through via
US20110127492A1 (en) * 2009-11-30 2011-06-02 International Business Machines Corporation Field Effect Transistor Having Nanostructure Channel
US8841652B2 (en) * 2009-11-30 2014-09-23 International Business Machines Corporation Self aligned carbide source/drain FET
US8748297B2 (en) 2012-04-20 2014-06-10 Infineon Technologies Ag Methods of forming semiconductor devices by singulating a substrate by removing a dummy fill material
US9406564B2 (en) 2013-11-21 2016-08-02 Infineon Technologies Ag Singulation through a masking structure surrounding expitaxial regions
CN104498874B (zh) * 2014-12-10 2017-12-05 上海大学 低气氛敏感性掺杂非晶碳基薄膜及其制备方法
CN107887323B (zh) * 2016-09-30 2020-06-05 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
WO2021217056A1 (en) * 2020-04-23 2021-10-28 Akash Systems, Inc. High-efficiency structures for improved wireless communications

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4617193A (en) * 1983-06-16 1986-10-14 Digital Equipment Corporation Planar interconnect for integrated circuits
US4648938A (en) * 1985-10-11 1987-03-10 The United States Of America As Represented By The United States Department Of Energy Composition/bandgap selective dry photochemical etching of semiconductor materials
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4671852A (en) * 1986-05-07 1987-06-09 The Standard Oil Company Method of forming suspended gate, chemically sensitive field-effect transistor
US5087959A (en) * 1987-03-02 1992-02-11 Microwave Technology, Inc. Protective coating useful as a passivation layer for semiconductor devices
JP2569058B2 (ja) * 1987-07-10 1997-01-08 株式会社日立製作所 半導体装置
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4987007A (en) * 1988-04-18 1991-01-22 Board Of Regents, The University Of Texas System Method and apparatus for producing a layer of material from a laser ion source
US5064809A (en) * 1988-12-23 1991-11-12 Troy Investments, Inc. Method of making a Josephson junction with a diamond-like carbon insulating barrier
US5087434A (en) * 1989-04-21 1992-02-11 The Pennsylvania Research Corporation Synthesis of diamond powders in the gas phase
US5266409A (en) * 1989-04-28 1993-11-30 Digital Equipment Corporation Hydrogenated carbon compositions
JPH036814A (ja) * 1989-06-02 1991-01-14 Mitsubishi Electric Corp 半導体装置のコンタクトホール形成方法
US5082359A (en) * 1989-11-28 1992-01-21 Epion Corporation Diamond films and method of growing diamond films on nondiamond substrates
JPH03181917A (ja) * 1989-12-11 1991-08-07 Ricoh Co Ltd 液晶表示装置
US5126206A (en) * 1990-03-20 1992-06-30 Diamonex, Incorporated Diamond-on-a-substrate for electronic applications
US5082522A (en) * 1990-08-14 1992-01-21 Texas Instruments Incorporated Method for forming patterned diamond thin films
US5186973A (en) * 1990-09-13 1993-02-16 Diamonex, Incorporated HFCVD method for producing thick, adherent and coherent polycrystalline diamonds films
US5527596A (en) * 1990-09-27 1996-06-18 Diamonex, Incorporated Abrasion wear resistant coated substrate product
JPH04240725A (ja) * 1991-01-24 1992-08-28 Sumitomo Electric Ind Ltd エッチング方法
SG49673A1 (en) * 1991-03-22 1998-06-15 Shimadzu Corp Dry etching method and its application
JP3123127B2 (ja) * 1991-07-22 2001-01-09 住友電気工業株式会社 電界効果型トランジスタ
US5221870A (en) * 1991-09-30 1993-06-22 Sumitomo Electric Industries, Ltd. Surface acoustic wave device
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
US5445712A (en) * 1992-03-25 1995-08-29 Sony Corporation Dry etching method
JP3104433B2 (ja) * 1992-10-16 2000-10-30 住友電気工業株式会社 ダイヤモンドのエッチング方法
US5474816A (en) * 1993-04-16 1995-12-12 The Regents Of The University Of California Fabrication of amorphous diamond films

Also Published As

Publication number Publication date
EP0696819A1 (en) 1996-02-14
TW275136B (ja) 1996-05-01
US5679269A (en) 1997-10-21
JPH0864591A (ja) 1996-03-08
KR960005853A (ko) 1996-02-23
US5674355A (en) 1997-10-07
US5559367A (en) 1996-09-24

Similar Documents

Publication Publication Date Title
JP3184746B2 (ja) 半導体デバイスおよび相互接続構造の作製方法
JP3201967B2 (ja) 低誘電率非晶質フッ素化炭素層を含む絶縁体及び相互接続構造
US6342448B1 (en) Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US6627539B1 (en) Method of forming dual-damascene interconnect structures employing low-k dielectric materials
US6150272A (en) Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6051496A (en) Use of stop layer for chemical mechanical polishing of CU damascene
US6730972B2 (en) Amorphous carbon insulation and carbon nanotube wires
US5741741A (en) Method for making planar metal interconnections and metal plugs on semiconductor substrates
TW441015B (en) Dual-damascene interconnect structures and methods for fabricating same
US6187663B1 (en) Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
JP3305251B2 (ja) 配線構造体の形成方法
US5854140A (en) Method of making an aluminum contact
JP3196203B2 (ja) 半導体素子の形成方法
US6566283B1 (en) Silane treatment of low dielectric constant materials in semiconductor device manufacturing
EP0284840A2 (en) Method for forming uniform layers of material
US6383913B1 (en) Method for improving surface wettability of low k material
KR20010109281A (ko) 마이크로일렉트로닉 구조
JP2003520448A (ja) エッチングされた低誘電率材料の孔を封じるための誘電体形成
EP0424485B1 (en) Fully recessed interconnection scheme with titanium-tungsten and selective cvd tungsten
US7109557B2 (en) Sacrificial dielectric planarization layer
JP2001176842A (ja) シリコン窒化膜のエッチング方法及び半導体装置の製造方法
US10177091B2 (en) Interconnect structure and method of forming
US6638849B2 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
EP0507881A1 (en) Semiconductor interconnect structure utilizing a polyimide insulator
KR100657166B1 (ko) 구리 금속 배선의 형성 방법

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees