TW201825651A - 蝕刻組成物 - Google Patents

蝕刻組成物 Download PDF

Info

Publication number
TW201825651A
TW201825651A TW106141667A TW106141667A TW201825651A TW 201825651 A TW201825651 A TW 201825651A TW 106141667 A TW106141667 A TW 106141667A TW 106141667 A TW106141667 A TW 106141667A TW 201825651 A TW201825651 A TW 201825651A
Authority
TW
Taiwan
Prior art keywords
acid
etching composition
weight
film
ammonium
Prior art date
Application number
TW106141667A
Other languages
English (en)
Other versions
TWI757381B (zh
Inventor
李孝善
金澔永
裵相元
金珉久
林廷訓
崔容在
Original Assignee
南韓商三星電子股份有限公司
南韓商秀博瑞殷股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司, 南韓商秀博瑞殷股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201825651A publication Critical patent/TW201825651A/zh
Application granted granted Critical
Publication of TWI757381B publication Critical patent/TWI757381B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/53After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone involving the removal of at least part of the materials of the treated article, e.g. etching, drying of hardened concrete
    • C04B41/5338Etching
    • C04B41/5353Wet etching, e.g. with etchants dissolved in organic solvents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Structural Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Weting (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

本發明提供一種自包含氮化鈦(TiN)膜及氮化鉭(TaN)膜之堆疊導電膜結構選擇性移除氮化鈦膜之蝕刻組成物。經設置以蝕刻氮化鈦(TiN)之所述蝕刻組成物包含相對於所述蝕刻組成物之總重量5重量%至30重量%之過氧化氫、15重量%至50重量%之酸化合物以及0.001重量%至5重量%之腐蝕抑制劑,其中所述酸化合物包含以下至少一者:磷酸(H3 PO4 )、硝酸(HNO3 )、鹽酸(HCl)、氫碘酸(HI)、氫溴酸(HBr)、過氯酸(HClO4 )、矽酸(H2 SiO3 )、硼酸(H3 BO3 )、乙酸(CH3 COOH)、丙酸(C2 H5 COOH)、乳酸(CH3 CH(OH)COOH)以及乙醇酸(HOCH2 COOH)。

Description

蝕刻組成物
本申請案主張2016年11月29日在韓國智慧財產局申請之韓國專利申請案第10-2016-0160023號的優先權,所述申請案的內容以全文引用的方式併入本文中。
本發明之一些實例實施例是關於蝕刻組成物及藉由使用所述蝕刻組成物製造半導體元件的方法,且更具體言之,是關於選擇性移除導電膜上之氮化鈦(TiN)之蝕刻組成物及藉由使用所述蝕刻組成物製造半導體元件的方法。
近期資訊媒體分佈之顯著增加已引起半導體元件功能之顯著改進。為確保較高競爭力,需要藉助於較高積集度以符合較低成本及較高品質需求的新穎半導體產品。半導體尺寸縮小持續達成較高積集度。
為實現半導體元件之尺寸縮小,使用高k絕緣膜。此外,為減少或防止費米能階釘紮(Fermi level pinning),使用具有適當功函數之金屬材料作為高k絕緣膜上之閘極電極。包含金屬材料之閘極電極可包含鈦系材料(例如鈦(Ti)及氮化鈦(TiN))或鉭系材料(例如鉭(Ta)及氮化鉭(TaN))。
金屬閘極電極之最優或所期望功函數在NMOS電晶體與PMOS電晶體之間變化。因此,當對於NMOS電晶體及PMOS電晶體之金屬閘極電極使用相同材料時,NMOS電晶體及PMOS電晶體之一個閘極電極可能不展現所期望功函數。NMOS電晶體之閘極電極可使用與PMOS電晶體之閘極電極不同的材料及/或不同的膜結構。為實現彼此之間不同的功函數,在閘極電極中可使用氮化鈦及氮化鉭。
本發明之一些實例實施例提供自包含氮化鈦(TiN)膜及氮化鉭(TaN)膜之堆疊導電膜結構選擇性移除氮化鈦膜的蝕刻組成物。
本發明之其他實例實施例提供使用蝕刻組成物自包含氮化鈦膜及氮化鉭膜之堆疊導電膜結構選擇性移除氮化鈦膜用於製造半導體元件的方法。
本發明不限於上文所闡述之實例實施例,且所屬領域中具通常知識者由以下描述將清楚理解除上文所闡述之實例實施例以外的實例實施例。
根據本發明概念之一實例實施例,經設置以蝕刻氮化鈦(TiN)之蝕刻組成物包含相對於蝕刻組成物之總重量5重量%至30重量%之過氧化氫、15重量%至50重量%之酸化合物以及0.001重量%至5重量%之腐蝕抑制劑,其中酸化合物包括以下至少一者:磷酸(H3 PO4 )、硝酸(HNO3 )、鹽酸(HCl)、氫碘酸(HI)、氫溴酸(HBr)、過氯酸(HClO4 )、矽酸(H2 SiO3 )、硼酸(H3 BO3 )、乙酸(CH3 COOH)、丙酸(C2 H5 COOH)、乳酸(CH3 CH(OH)COOH)以及乙醇酸(HOCH2 COOH)。
根據本發明概念之另一實例實施例,經設置以蝕刻氮化鈦(TiN)之蝕刻組成物包含過氧化氫、酸化合物以及腐蝕抑制劑,其中酸化合物之重量相對於過氧化氫之重量的比率為1至7,且酸化合物包括以下至少一者:磷酸(H3 PO4 )、硝酸(HNO3 )、鹽酸(HCl)、氫碘酸(HI)、氫溴酸(HBr)、過氯酸(HClO4 )、矽酸(H2 SiO3 )、硼酸(H3 BO3 )、乙酸(CH3 COOH)、丙酸(C2 H5 COOH)、乳酸(CH3 CH(OH)COOH)以及乙醇酸(HOCH2 COOH)。
根據本發明概念之又一實例實施例,用於製造半導體元件之方法包含:形成包含第一溝槽及第二溝槽之層間絕緣膜;沿第一溝槽之側壁及底表面形成第一TaN膜,且沿第二溝槽之側壁及底表面形成第二TaN膜;在第一TaN膜上形成第一TiN膜,且在第二TaN膜上形成第二TiN膜;在第二TiN膜上形成遮罩圖案;且藉由使用遮罩圖案藉由用濕式蝕刻移除第一TiN膜暴露第一TaN膜,其中濕式蝕刻使用蝕刻組成物,蝕刻組成物包括相對於蝕刻組成物之總重量5重量%至30重量%之過氧化氫、15重量%至50重量%之酸化合物以及0.001重量%至5重量%之腐蝕抑制劑,且酸化合物包括以下至少一者:磷酸(H3 PO4 )、硝酸(HNO3 )、鹽酸(HCl)、氫碘酸(HI)、氫溴酸(HBr)、過氯酸(HClO4 )、矽酸(H2 SiO3 )、硼酸(H3 BO3 )、乙酸(CH3 COOH)、丙酸(C2 H5 COOH)、乳酸(CH3 CH(OH)COOH)以及乙醇酸(HOCH2 COOH)。
術語「烷基」表示脂族烴基。烷基部分可為「飽和烷基」,表示不包含任何烯烴或炔烴部分。烷基部分亦可為「不飽和烷基部分」,表示包含至少一個烯烴或炔烴部分。「烯烴」部分表示其中至少兩個碳原子形成有至少一個碳碳雙鍵之基團,且「炔烴」部分表示其中至少兩個碳原子形成有至少一個碳碳參鍵之基團。
烷基可經取代或未經取代。在經取代時,經取代基團為一或多個分別且獨立地由以下各者中選出之基團:胺基,包含環烷基、芳基、雜芳基、雜脂環、羥基、烷氧基、芳氧基、巰基、烷硫基、芳硫基、氰基、鹵素、羰基、硫羰基、O-胺甲醯基、N-胺甲醯基、O-硫胺甲醯基、N-硫胺甲醯基、C-醯胺基、N-醯胺基、S-磺醯胺基、N-磺醯胺基、C-羧基、O-羧基、異氰酸酯基、硫氰基、異硫氰基、硝基、矽烷基、三鹵甲烷磺醯基、包含單取代胺基及去取代胺基之胺基、以及其經保護衍生物。典型烷基可包含甲基、乙基、丙基、異丙基、丁基、異丁基、第三丁基、戊基、己基、乙烯基、丙烯基、丁烯基、環丙基、環丁基、環戊基、環己基等,但不限於此。
舉例而言,下文待描述之蝕刻組成物可為蝕刻氮化鈦之蝕刻溶液。
對於另一實例,下文待描述之蝕刻組成物可為自氮化鈦膜及氮化鉭膜之堆疊膜選擇性移除氮化鈦膜的蝕刻溶液。
根據一些實例實施例之蝕刻組成物可包含過氧化氫(H2 O2 )、酸化合物、腐蝕抑制劑以及溶劑。
使用蝕刻組成物自氮化鈦膜及氮化鉭膜之分層膜選擇性移除氮化鈦膜。
蝕刻組成物包含過氧化氫。過氧化氫可用作氧化劑。
過氧化氫可使氮化鈦膜氧化。亦即,過氧化氫可使氮化鈦膜變為氧化鈦膜。
蝕刻組成物可包含相對於蝕刻組成物之總重量5重量%至30重量%之過氧化氫。舉例而言,蝕刻組成物可包含相對於蝕刻組成物之總重量12重量%至30重量%之過氧化氫。舉例而言,蝕刻組成物可包含相對於蝕刻組成物之總重量15重量%至25重量%之過氧化氫。
當過氧化氫小於上述範圍時,氮化鈦膜可能氧化不充分。因此,氮化鈦膜之蝕刻速率可能降低。
當過氧化氫超過上述範圍時,氧化可能在除氮化鈦膜以外之其他膜(例如氮化鉭膜)上進行。在實例實施例中,氮化鉭膜相對於氮化鈦膜之蝕刻選擇性可能降低。
蝕刻組成物可包含酸化合物。酸化合物可調整蝕刻組成物之pH。
酸化合物可包含有機酸或無機酸。酸化合物可包含例如以下至少一者:磷酸(H3 PO4 )、硝酸(HNO3 )、鹽酸(HCl)、氫碘酸(HI)、氫溴酸(HBr)、過氯酸(HClO4 )、矽酸(H2 SiO3 )、硼酸(H3 BO3 )、乙酸(CH3 COOH)、丙酸(C2 H5 COOH)、乳酸(CH3 CH(OH)COOH)以及乙醇酸(HOCH2 COOH)。
在根據一些實例實施例之蝕刻組成物中,酸化合物可包含磷酸。舉例而言,酸化合物可為磷酸。
在根據一些實例實施例之蝕刻組成物中,酸化合物可未包含硫系化合物。如本文所用,表述「未包含硫系化合物」未必意謂蝕刻組成物不包含硫離子。
當蝕刻組成物包含硫酸及過氧化氫時,可由硫酸與過氧化氫之間的反應形成卡洛酸(Caro's acid)(H2 SO5 )。如上文所述形成之卡洛酸可能過度蝕刻氮化鉭膜以及蝕刻氮化鈦膜。亦即,當硫酸包含於蝕刻組成物中時,可能發生副反應,過度蝕刻氮化鉭膜以及氮化鈦膜。
蝕刻組成物可包含相對於蝕刻組成物之總重量15重量%至50重量%之酸化合物。舉例而言,蝕刻組成物可包含相對於蝕刻組成物之總重量20重量%至40重量%之酸化合物。
當酸化合物小於上述範圍時,氮化鈦膜之蝕刻可能進行不充分。因此,氮化鈦膜之蝕刻速率可能降低。
當酸化合物超過上述範圍時,可能顯著蝕刻除氮化鈦膜以外之其他膜(例如氮化鉭膜)。在此情形下,氮化鉭膜相對於氮化鈦膜之蝕刻選擇性可能降低。
蝕刻組成物可包含腐蝕抑制劑。腐蝕抑制劑可吸附至除經蝕刻膜以外之膜上,因此防止或減少除經蝕刻膜以外的膜經蝕刻組成物蝕刻。
舉例而言,腐蝕抑制劑可包含以下至少一者:過氧硫酸銨、硫酸銨、磷酸二氫銨、磷酸氫二銨、磷酸三銨、氯化銨、乙酸銨、碳酸銨、硝酸銨、碘化銨、1,2,4-三唑、3-胺基三唑、5-胺基四唑、苯并三唑、吡唑、咪唑、抗壞血酸、檸檬酸、丁二酸、順丁烯二酸、丙二酸、硫代乙醇酸、鞣酸、沒食子酸甲酯、沒食子酸乙酯以及沒食子酸丙酯。
腐蝕抑制劑之至少部分可包含具有非共價電子對之氮原子,但不限於此。使用非共價電子對,腐蝕抑制劑可吸附至除經蝕刻膜以外之其他膜上,以減少或防止除經蝕刻膜以外的其他膜經蝕刻。
氫氧化銨可包含非共價電子對,但腐蝕抑制劑可未包含氫氧化銨。氫氧化銨為鹼性材料。當蝕刻組成物包含氫氧化銨時,蝕刻組成物之pH會升高。因此,氮化鈦膜之蝕刻可能進行不充分。
蝕刻組成物可包含相對於蝕刻組成物之總重量0.001重量%至5重量%之腐蝕抑制劑。
當腐蝕抑制劑小於上述範圍時,氧化鉭膜(即經氧化氮化鉭膜)或氮化鉭膜之表面可能不受保護。
當腐蝕抑制劑超過上述範圍時,腐蝕抑制劑可能強有力地吸附至膜表面上,達到腐蝕抑制劑在後續清潔製程中可能未經移除的程度。未經移除腐蝕抑制劑可能影響後續製程。
蝕刻組成物可包含其餘部分的溶劑。溶劑可為例如去離子水。溶劑可添加至蝕刻組成物使得蝕刻組成物可為100重量%。
相對於蝕刻組成物之總重量,蝕刻組成物可包含15重量%至79重量%之溶劑。
在根據一些實例實施例之蝕刻組成物中,蝕刻組成物之pH可小於或等於2。
根據一些實例實施例,蝕刻組成物可更包含螯合劑(chelating agent)。
螯合劑可包含例如以下至少一者:乙二胺四乙酸、亞胺基二乙酸、二伸乙三胺五乙酸、甘胺酸、丙胺酸、纈胺酸、白胺酸、異白胺酸、絲胺酸、蘇胺酸、酪胺酸、苯丙胺酸、色胺酸、天冬胺酸、麩胺酸、麩醯胺酸、天冬醯胺、離胺酸、精胺酸、組胺酸、羥基離胺酸、半胱胺酸、甲硫胺酸、胱胺酸、脯胺酸、胺基磺酸以及羥脯胺酸。
當蝕刻組成物包含螯合劑時,蝕刻組成物可包含相對於蝕刻組成物之總重量0.001重量%至5重量%之螯合劑。
根據一些實例實施例,蝕刻組成物可更包含界面活性劑。
界面活性劑可包含例如以下至少一者:磺酸烷基酯、烷基磺酸銨、烷基醚磺酸酯、烷基芳基醚磺酸酯、磷酸烷基酯、烷基磷酸銨、烷基醚磷酸酯、烷基芳基醚磷酸酯、氟烷基磺醯亞胺、銨氟烷基磺醯亞胺、Cn H2n + 1 CH2 CH2 SO3 - NH4 + 、Cn H2n + 1 CH2 CH2 SO3 H、(Cn H2n + 1 CH2 CH2 O)xPO(ONH4 + )y(OCH2 CH2 OH)z、Cn H2n + 1 CH2 CH2 O(OCH2 CH2 OH)xH、Cn H2n + 1 SO2 N(C2 H5 )(CH2 CH2 )xH、Cn H2n + 1 CH2 CH2 OCH2 (OH)CH2 CH2 N(Cn H2n + 1 )2 、Cn H2n + 1 CH2 CH2 OCH2 (OCH2 CH2 )n CH2 CH2 N(Cn H2n + 1 )2 、Cn F2n + 1 CH2 CH2 SO3 - NH4 + 、Cn F2n + 1 CH2 CH2 SO3 H、(Cn F2n + 1 CH2 CH2 O)xPO(ONH4 + )y(OCH2 CH2 OH)z、Cn F2n + 1 CH2 CH2 O(OCH2 CH2 OH)xH、Cn F2n + 1 SO2 N(C2 H5 )(CH2 CH2 )xH、Cn F2n + 1 CH2 CH2 OCH2 (OH)CH2 CH2 N(Cn F2n + 1 )2 以及Cn F2n + 1 CH2 CH2 OCH2 (OCH2 CH2 )n CH2 CH2 N(Cn F2n + 1 )2
在以上化學式中,n為1至20之整數,當x、y以及z同時存在時,x、y以及z為符合x+y+z=3之實數,且當x單獨存在時,x為1至3之整數。
當蝕刻組成物包含界面活性劑時,蝕刻組成物可包含相對於蝕刻組成物之總重量0.001重量%至0.1重量%之界面活性劑。
當界面活性劑小於0.001重量%時,由於吸附至氮化鉭膜表面上之相對低的界面活性劑含量,界面活性劑降低氮化鉭膜蝕刻速率之作用可能不充分。此外,由於難以減小氮化鈦膜之表面張力,可能不可能有效提高氮化鈦膜之蝕刻速率。
當界面活性劑超過0.1重量%時,使用過量界面活性劑是不划算的,因為所得效果與上述範圍內相同。此外,過量界面活性劑可能生成過多泡沫,引起利用蝕刻組成物之難度。
根據一些實例實施例,蝕刻組成物可更包含螯合劑(sequestering agent)等。
舉例而言,在本發明之蝕刻組成物中,酸化合物之重量與過氧化氫之重量的比率可為1至7。亦即,在本發明之蝕刻組成物中,酸化合物之重量可實質上與過氧化氫之重量相同,或酸化合物之重量可小於或等於過氧化氫重量的七倍。
對於另一實例,在本發明之蝕刻組成物中,過氧化氫之重量可大於酸化合物之重量。
在根據一些實例實施例之蝕刻組成物中,氮化鈦膜相對於氮化鉭膜之蝕刻選擇比可等於或大於500。舉例而言,氮化鈦膜相對於氮化鉭膜之蝕刻選擇比可等於或大於1,000。舉例而言,氮化鈦膜相對於氮化鉭膜之蝕刻選擇比可為1,500至5,000。
在一個實例中,當在同一持續時間下移除厚度t之氮化鉭膜且移除厚度2000t之氮化鈦膜時,氮化鈦膜相對於氮化鉭膜之蝕刻選擇比可為2000。
根據一些實例實施例之蝕刻組成物可包含含氟化合物或可未包含含氟化合物。
舉例而言,當在暴露氧化物之環境下使用本發明之蝕刻組成物移除氮化鈦膜時,根據一些實例實施例之蝕刻組成物可未包含含氟化合物。
對於另一實例,當在不暴露氧化物之環境下使用本發明之蝕刻組成物移除氮化鈦膜時,根據一些實例實施例之蝕刻組成物可包含含氟化合物。
儘管上文描述暴露氧化物決定蝕刻組成物是否包含含氟化合物,但實例實施例不限於此。
舉例而言,當使用根據一些實例實施例之蝕刻組成物進行濕式蝕刻時,濕式蝕刻溫度可在20℃與100℃之間,但實例實施例不限於此。
以下將使用實驗實例闡述根據一些實例實施例之蝕刻組成物。然而,僅出於解釋目的提供以下實驗實例,且本發明不限於此。
在以下實驗實例中,蝕刻組成物之組成用重量%表現,用於明確表示相對量。因此,通常理解本發明之在本領域中具有通常知識者將能夠基於本文所推薦之重量%量藉由恰當地調整規模來重複及實施實驗。
下表表示實驗實例中包含之蝕刻組成物之組成,其中使用相同氮化鈦膜之蝕刻速率及氮化鉭膜之蝕刻速率。此外,下表表示實驗實例中包含之蝕刻組成物對氮化鈦膜相對於氮化鉭膜的蝕刻選擇比。
實驗實例A至實驗實例T表示根據一些實例實施例之蝕刻組成物,且實驗實例U至實驗實例AB表示比較實例。
蝕刻組成物之組成以各組分相對於蝕刻組成物總重量之重量%表現。實驗實例A至實驗實例T之蝕刻組成物包含過氧化氫、酸化合物、腐蝕抑制劑以及其餘部分的作為溶劑之去離子水(DIW)。
將形成有氮化鈦膜及氮化鉭膜之基板浸入實驗實例A至實驗實例AB之蝕刻組成物中。形成有氮化鈦膜之基板浸入蝕刻組成物中30秒,且形成有氮化鉭膜之基板浸入蝕刻組成物中3分鐘。
為獲得浸入蝕刻組成物中之氮化鈦膜及氮化鉭膜之蝕刻速率,使用橢圓偏光計(SE-MG-1000)量測膜厚度變化。使用膜厚度變化及浸沒時間確定氮化鈦膜及氮化鉭膜之蝕刻速率。氮化鈦膜及氮化鉭膜之蝕刻速率之單位為埃/分鐘(Å/min)。氮化鈦膜相對於氮化鉭膜之蝕刻選擇比藉由用氮化鈦膜之蝕刻速率除以氮化鉭膜之蝕刻速率來確定。
用於評估濕式蝕刻之溫度單位可為℃,進行所述濕式蝕刻用於評估使用實驗實例之蝕刻組成物之氮化鈦膜及氮化鉭膜的蝕刻速率。
實驗實例A至實驗實例T中氮化鉭膜之蝕刻速率經標記小於0.1埃/分鐘,因為其展現低於可使用量測設備(亦即橢圓偏光計)量測之範圍的蝕刻速率。然而,出於計算實驗實例A至實驗實例T中之蝕刻選擇比起見,氮化鉭膜之蝕刻速率給定為0.1埃/分鐘。
實驗實例之『3-ATZ』表示『3-胺基三唑』,『PG』表示『沒食子酸丙酯』,『AN』表示『硝酸銨』,『APS』表示『過硫酸銨』,且『TMAH』表示『氫氧化四甲銨』。
在僅使用過氧化氫或使用TMAH而非根據一些實例實施例之酸化合物的實驗實例中,觀測到氮化鉭膜經蝕刻且受損。因此,確認氮化鈦膜相對於氮化鉭膜之蝕刻選擇比亦降低。
在包含根據一些實例實施例之蝕刻組成物之實驗實例A至實驗實例T中,觀測到氮化鉭膜之蝕刻速率較低,且因此氮化鉭膜之損害較低。因此,確認氮化鈦膜相對於氮化鉭膜之蝕刻選擇比相對較高。
使用根據一些實例實施例之蝕刻組成物製造半導體元件之方法描述包含多通道之多通道電晶體(例如包含鰭型通道區域圖案之鰭型電晶體(FinFET)、包含奈米線之電晶體及包含奈米薄片之電晶體),但亦可實施平面電晶體。
此外,使用根據一些實例實施例之蝕刻組成物製造半導體元件之方法描述電晶體之製造,但所述方法亦可應用於在後段製程(back-end-of-line;BEOL)方法中製造連接至電晶體的導線。
圖1至圖14為說明製造之中間階段之視圖,說明使用根據本發明之一些實例實施例之蝕刻組成物製造半導體元件的方法。圖4為在圖3之線A-A及線C-C處截取之截面視圖,且圖5為在圖3之線B-B及線D-D處截取之截面視圖。
參看圖1,在基板100上可形成第一鰭型圖案110及第二鰭型圖案210。第一鰭型圖案110可在第一區域I中形成,且第二鰭型圖案210可在第二區域II中形成。
基板100可包含第一區域I及第二區域II。第一區域I及第二區域II可為彼此間隔開的區域,或彼此連接的區域。此外,形成於第一區域I中之電晶體可具有與形成於第二區域II中之電晶體相同或不同的導電性類型。
基板100可為塊狀矽或絕緣層上矽(silicon-on-insulator;SOI)。或者,基板100可為矽基板,或可包含其他材料,諸如矽鍺、絕緣層上矽鍺(silicon germanium on insulator;SGOI)、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵或銻化鎵,但不限於此。
第一鰭型圖案110可在第一方向X1上延長,且第二鰭型圖案210可在第二方向X2上延長。第一鰭型圖案110及第二鰭型圖案210可為基板100之一部分,且可包含自基板100生長之磊晶層。
第一鰭型圖案110及第二鰭型圖案210可各自包含例如元素半導體材料,諸如矽或鍺。此外,第一鰭型圖案110可包含化合物半導體,諸如IV-IV族化合物半導體或III-V族化合物半導體。特定言之,以IV-IV族化合物半導體作為一實例,第一鰭型圖案110及第二鰭型圖案210可為包含以下至少兩者或多於兩者之二元化合物或三元化合物:碳(C)、矽(Si)、鍺(Ge)以及錫(Sn),或摻雜有IV族元素的這些化合物。以III-V族化合物半導體作為一實例,第一鰭型圖案110及第二鰭型圖案210可為由III族元素與V族元素組合形成之二元化合物、三元化合物或四元化合物中之一者,III族元素可為以下至少一者:鋁(Al)、鎵(Ga)或銦(In),V族元素可為磷(P)、砷(As)以及銻(Sb)中之一者。
在根據一些實例實施例之半導體元件中,假定第一鰭型圖案110及第二鰭型圖案210為包含矽之矽鰭型圖案。
可在基板100上形成場絕緣膜105。場絕緣膜105可部分覆蓋於第一鰭型圖案110及第二鰭型圖案210上。舉例而言,場絕緣膜105可部分覆蓋於第一鰭型圖案110及第二鰭型圖案210的側壁上。第一鰭型圖案110之上部表面及第二鰭型圖案210之上部表面可朝上凸起,高於鄰近第一鰭型圖案110及第二鰭型圖案210之較長側形成之場絕緣膜105的上部表面。第一鰭型圖案110及第二鰭型圖案210可由基板100上之場絕緣膜105界定。舉例而言,場絕緣膜105可包含氧化矽膜、氮化矽膜或氮氧化矽膜中之至少一者。
此外,場絕緣膜105可另外包含至少一或多個形成於第一鰭型圖案110與場絕緣膜105之間及第二鰭型圖案210與場絕緣膜105之間的場內襯膜。當場絕緣膜105更包含場內襯膜時,場內襯膜可包含多晶矽、非晶矽、氮氧化矽、氮化矽及氧化矽中之至少一者。
參看圖2,可使用閘極硬式遮罩圖案2101進行蝕刻製程,使得形成藉由與第一鰭型圖案110交叉在第三方向Y1上延伸的第一虛設閘極電極120p,及形成藉由與第二鰭型圖案210交叉在第四方向Y2上延伸的第二虛設閘極電極220p。
第一虛設閘極絕緣膜130p可形成於第一鰭型圖案110與第一虛設閘極電極120p之間,且第二虛設閘極絕緣膜230p可形成於第二鰭型圖案210與第二虛設閘極電極220p之間。
第一虛設閘極絕緣膜130p及第二虛設閘極絕緣膜230p可包含例如氧化矽(SiO2 )膜、氮氧化矽(SiON)膜以及其組合中之一者。
第一虛設閘極電極120p及第二虛設閘極電極220p可包含例如多晶矽(poly Si)、非晶矽(a-Si)以及其組合。第一虛設閘極電極120p及第二虛設閘極電極220p可未摻雜有雜質,或可摻雜有類似雜質。不同於上述描述,一者可經摻雜,且另一者可未經摻雜。或者,一者可摻雜有n型材料(例如砷、磷或其他n型材料),且另一者可摻雜有p型材料(例如硼或其他p型材料)。
參看圖3至圖5,可藉由移除第一虛設閘極電極120p及第一虛設閘極絕緣膜130p形成與第一鰭型圖案110交叉的第一溝槽140t。此外,藉由移除第二虛設閘極電極220p及第二虛設閘極絕緣膜230p,可形成與第二鰭型圖案210交叉之第二溝槽240t。在場絕緣膜105上可形成包含第一溝槽140t及第二溝槽240t之層間絕緣膜180。
更特定言之,可分別在第一虛設閘極電極120p及第二虛設閘極電極220p之側壁上形成第一閘極間隔物140及第二閘極間隔物240。
當第一閘極間隔物140形成時,可藉由移除第一鰭型圖案110中不與第一虛設閘極電極120p重疊之部分來形成第一凹槽150r。此外,當第二閘極間隔物240形成時,可藉由移除第二鰭型圖案210中不與第二虛設閘極電極220p重疊之部分來形成第二凹槽250r。
隨後可在第一虛設閘極電極120p之兩側上形成用於填充第一凹槽150r之第一磊晶圖案150。第一磊晶圖案150可包含於使用第一鰭型圖案110作為通道區域之電晶體的源極/汲極中。可在第二虛設閘極電極220p之兩側上形成用於填充第二凹槽250r之第二磊晶圖案250。第二磊晶圖案250可包含於使用第二鰭型圖案210作為通道區域之電晶體的源極/汲極中。
隨後可形成層間絕緣膜180,以覆蓋於第一磊晶圖案150及第二磊晶圖案250上。藉由平坦化製程,可暴露第一虛設閘極電極120p及第二虛設閘極電極220p之上表面。
舉例而言,層間絕緣膜180可包含氧化矽、氮化矽、氮氧化矽、可流動氧化物(flowable oxide;FOX)、東燃矽氮烷(Tonen silazene;TOSZ)、未摻雜矽石玻璃(undoped silica glass;USG)、硼矽石玻璃(borosilica glass;BSG)、磷酸矽石玻璃(phosphosilica glass;PSG)、硼磷酸矽石玻璃(borophosphosilica glass;BPSG)、電漿增強正矽酸四乙酯(plasma enhanced tetra ethyl ortho silicate;PETEOS)、氟化矽酸酯玻璃(fluoride silicate glass;FSG)、經碳摻雜之氧化矽(carbon doped silicon oxide;CDO)、乾凝膠、氣凝膠、非晶型氟化碳、有機矽酸鹽玻璃(organo silicate glass;OSG)、聚對二甲苯、雙苯并環丁烯(bis-benzocyclobutenes;BCB)、SiLK、聚醯亞胺、多孔聚合物材料或其組合,但不限於此。
藉由移除第一虛設閘極電極120p及第一虛設閘極絕緣膜130p且移除第二虛設閘極電極220p及第二虛設閘極絕緣膜230p,第一溝槽140t可形成於第一區域I中且第二溝槽240t可形成於第二區域II中。
以下將基於在圖3之線A-A及線C-C處截取之橫截面圖,及在圖3之線B-B及線D-D處截取之橫截面圖來描述。
參看圖6及圖7,可沿第一溝槽140t之側壁及底表面及層間絕緣膜180之上部表面形成第一閘極絕緣膜130。此外,可沿第二溝槽240t之側壁及底表面及層間絕緣膜180之上部表面形成第二閘極絕緣膜230。
可沿第一鰭型圖案110在垂直方向上朝上凸起高於場絕緣膜105之上部表面的輪廓且沿場絕緣膜105之上部表面形成第一閘極絕緣膜130。可沿第二鰭型圖案210在垂直方向上朝上凸起高於場絕緣膜105之上部表面的輪廓且沿場絕緣膜105之上部表面形成第二閘極絕緣膜230。
第一閘極絕緣膜130及第二閘極絕緣膜230可各自包含介電常數高於氧化矽膜之高k介電材料。舉例而言,第一閘極絕緣膜130及第二閘極絕緣膜230中之每一者可包含以下一或多者:氧化鉿、氧化鉿矽、氧化鉿鋁、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭以及鈮酸鉛鋅。
不同於圖6及圖7中之圖示,可另外在第一閘極絕緣膜130與第一鰭型圖案110之間及第二閘極絕緣膜230與第二鰭型圖案210之間形成界面膜。舉例而言,當第一鰭型圖案110及第二鰭型圖案210為矽鰭型圖案時,界面層可包含氧化矽。
可在第一閘極絕緣膜130上形成第一TaN膜121。第一TaN膜121可沿第一閘極絕緣膜130之輪廓形成。可在第一溝槽140t之側壁及底表面及層間絕緣膜180之上部表面上形成第一TaN膜121。可沿第一鰭型圖案110在垂直方向上朝上凸起高於場絕緣膜105之上部表面的輪廓且沿場絕緣膜105之上部表面形成第一TaN膜121。
可在第二閘極絕緣膜230上形成第二TaN膜221。第二TaN膜221可沿第二閘極絕緣膜230之輪廓形成。可在第二溝槽240t之側壁及底表面及層間絕緣膜180之上部表面上形成第二TaN膜221。可沿第二鰭型圖案210在垂直方向上朝上凸起高於場絕緣膜105之上部表面的輪廓且沿場絕緣膜105之上部表面形成第二TaN膜221。
可在第一TaN膜121上形成第一TiN膜122。可沿第一TaN膜121之輪廓形成第一TiN膜122。可在第一溝槽140t之側壁及底表面及層間絕緣膜180之上部表面上形成第一TiN膜122。可沿第一鰭型圖案110在垂直方向上朝上凸起高於場絕緣膜105之上部表面的輪廓且沿場絕緣膜105之上部表面形成第一TiN膜122。
可在第二TaN膜221上形成第二TiN膜222。可沿第二TaN膜221之輪廓形成第二TiN膜222。可在第二溝槽240t之側壁及底表面及層間絕緣膜180之上部表面上形成第二TiN膜222。可沿第二鰭型圖案210在垂直方向上朝上凸起高於場絕緣膜105之上部表面的輪廓且沿場絕緣膜105之上部表面形成第二TiN膜222。
參看圖8及圖9,可在第二TiN膜222上形成遮罩圖案40。
由於遮罩圖案40可形成於第二區域II上且不形成於第一區域I上,可經由遮罩圖案40暴露第一TiN膜122。換言之,遮罩圖案40可覆蓋第二TiN膜222且可不覆蓋第一TiN膜122。
儘管將遮罩圖案40說明為單層膜,但此僅出於方便解釋起見經提供,且實例實施例不限於此。
參看圖10及圖11,可使用遮罩圖案40藉由濕式蝕刻移除第一TiN膜122。
濕式蝕刻50可使用根據上文所述之一些實例實施例之蝕刻組成物進行。
舉例而言,可藉由移除第一TiN膜122暴露第一TaN膜121,但不限於此。
換言之,不同於圖示,可藉由調整濕式蝕刻之持續時間移除第一TiN膜122之一部分。藉由上文,第一TiN膜122之其餘部分可保留於第一TaN膜121上。
隨後可移除第二區域II上之遮罩圖案40。
參看圖12及圖13,可在第一TaN膜121上形成第一上部電極膜123,且可在第二TiN膜222上形成第二上部電極膜223。
第一上部電極膜123可形成於層間絕緣膜180之上部表面上,同時填充第一溝槽140t。第二上部電極膜223可形成於層間絕緣膜180之上部表面上,同時填充第二溝槽240t。
第一上部電極膜123及第二上部電極膜223可各自包含以下至少一者:例如氮化鈦(TiN)、碳化鉭(TaC)、氮化鈦矽(TiSiN)、氮化鉭矽(TaSiN)、氮化鉭鈦(TaTiN)、氮化鈦鋁(TiAlN)、氮化鉭鋁(TaAlN)、氮化鎢(WN)、釕(Ru)、鈦鋁(TiAl)、碳氮化鈦鋁(TiAlC-N)、碳化鈦鋁(TiAlC)、碳化鈦(TIC)、碳氮化鉭(TaCN)、鎢(W)、鋁(Al)、銅(Cu)、鈷(Co)、鈦(Ti)、鉭(Ta)、鎳(Ni)、鉑(Pt)、鎳鉑(Ni-Pt)、鈮(Nb)、氮化鈮(NbN)、碳化鈮(NbC)、鉬(Mo)、氮化鉬(MoN)、碳化鉬(MoC)、碳化鎢(WC)、銠(Rh)、鈀(Pd)、銥(Ir)、鋨(Os)、銀(Ag)、金(Au)、鋅(Zn)、釩(V)及其組合。
參看圖14,可藉由移除形成於層間絕緣膜180上之第一閘極絕緣膜130、第一TaN膜121以及第一上部電極膜123在第一溝槽140t內形成第一閘極電極120。
可藉由移除形成於層間絕緣膜180之上部表面上之第二閘極絕緣膜230、第二TaN膜221、第二TiN膜222以及第二上部電極膜223在第二溝槽240t內形成第二閘極電極220。
第一閘極電極120可包含第一TaN膜121及第一上部電極膜123,且第二閘極電極220可包含第二TaN膜221、第二TiN膜222以及第二上部電極膜223。
圖15至圖25為說明製造之中間階段之視圖,說明使用根據本發明之一些實例實施例之蝕刻組成物製造半導體元件的方法。圖16為在圖15之線E-E及線G-G處截取之截面視圖,且圖17為在圖15之線F-F及線H-H處截取之截面視圖。
參看圖15至圖17,可依次在包含第一區域I及第二區域II之基板100上形成犧牲膜2001及主動膜2002。犧牲膜2001及主動膜2002可使用例如磊晶生長方法形成。
主動膜2002可含有相對於犧牲膜2001具有蝕刻選擇性之材料。
在圖15中,繪示主動膜2002為單層膜且犧牲膜2001為雙層膜,但此僅出於方便緣由,且實例實施例不限於此。此外,儘管繪示犧牲膜2001位於最上部分,但實例實施例不限於此。
隨後在第一區域I及第二區域II之犧牲膜2001上可分別形成結構遮罩圖案2100。
在第一區域I中,結構遮罩圖案2100可在第一方向X1上縱向延伸。在第二區域II中,結構遮罩圖案2100可在第二方向X2上縱向延伸。
以下將基於在圖15之線E-E及線G-G處截取之橫截面圖,及在圖15之線F-F及線H-H處截取之橫截面圖來闡述。
參看圖18及圖19,可藉由結構遮罩圖案2100作為遮罩進行蝕刻製程,以便形成第一鰭型結構F1及第二鰭型結構F2。
第一鰭型結構F1可形成於第一區域I中。第一鰭型結構F1可包含第一鰭型突起部100P、第一犧牲圖案111、第一主動圖案112及第一犧牲圖案111,其以連續次序在基板100上分層。
第二鰭型結構F2可形成於第二區域II中。第二鰭型結構F2可包含第二鰭型突起部200P、第二犧牲圖案211、第二主動圖案212及第二犧牲圖案211,其以連續次序在基板100上分層。
在圖19中,繪示除用於形成第一鰭型結構F1及第二鰭型結構F2之犧牲膜2001以外移除基板100上之所有犧牲膜,但此僅出於方便緣由,且實例實施例不限於此。
隨後,在基板100上可形成場絕緣膜105,以覆蓋於第一鰭型結構F1之側壁及第二鰭型結構F2之側壁的至少一部分上。
在形成場絕緣膜105之製程中,可移除結構遮罩圖案2100。
隨後在第一區域I中可形成與第一鰭型結構F1交叉且在第三方向Y1上延伸之第一虛設閘極電極120p。
此外,在第二區域II中可形成與第二鰭型結構F2交叉且在第四方向Y2上延伸之第二虛設閘極電極220p。
可使用閘極硬式遮罩圖案2101形成第一虛設閘極電極120p及第二虛設閘極電極220p。
第一虛設閘極絕緣膜130p及第二虛設閘極絕緣膜230p可分別形成於第一虛設閘極電極120p與第一鰭型結構F1之間及第二虛設閘極電極220p與第二鰭型結構F2之間。
在第一虛設閘極電極120p之側壁上可形成第一預閘極間隔物140p。在第二虛設閘極電極220p之側壁上可形成第二預閘極間隔物240p。
參看圖20及圖21,在場絕緣膜105上可形成層間絕緣膜180,暴露第一虛設閘極電極120p之上部表面及第二虛設閘極電極220p之上部表面。
更特定言之,可使用第一虛設閘極電極120p及第一預閘極間隔物140p作為遮罩移除第一鰭型結構F1之一部分。藉由進行此操作,在第一虛設閘極電極120p及第一預閘極間隔物140p兩側上可形成第一凹槽150r。
在第一主動圖案112與第一鰭型突起部100P之間可形成第一內部間隔物142。第一內部間隔物142可形成於第一主動圖案112上。
特定言之,可使用第一主動圖案112與第一犧牲圖案111之間的蝕刻選擇性移除第一犧牲圖案111的一部分。隨後在已移除第一犧牲圖案111之一部分的區域中可形成第一內部間隔物142。
在第一凹槽150r內可形成第一磊晶圖案150。
此外,可使用第二虛設閘極電極220p及第二預閘極間隔物240p作為遮罩移除第二鰭型結構F2之一部分。藉由進行此操作,在第二虛設閘極電極220p及第二預閘極間隔物240p兩側上可形成第二凹槽250r。
在第二主動圖案212與第二鰭型突起部200P之間可形成第二內部間隔物242。第二內部間隔物242可形成於第二主動圖案212上。
使用第二主動圖案212與第二犧牲圖案211之間的蝕刻選擇性可移除第二犧牲圖案211的一部分。隨後在已移除第二犧牲圖案211之一部分的區域中可形成第二內部間隔物242。
在第二凹槽250r內可形成第二磊晶圖案250。
形成第一凹槽150r及形成第二凹槽250r可同時進行,或彼此藉由不同製程進行。此外,形成第一磊晶圖案150及形成第二磊晶圖案250可同時進行,或彼此藉由不同製程進行。
隨後可形成層間絕緣膜180,以覆蓋於第一磊晶圖案150及第二磊晶圖案250上。藉由平坦化製程,可暴露第一虛設閘極電極120p及第二虛設閘極電極220p之上表面。
在形成層間絕緣膜180時,可形成第一外部間隔物141及第二外部間隔物241中之每一者。
參看圖22及圖23,在移除第一虛設閘極電極120p、第一虛設閘極絕緣膜130p以及第一犧牲圖案111時,在第一區域I之基板100上可形成第一導線圖案115。
此外,在移除第二虛設閘極電極220p、第二虛設閘極絕緣膜230p以及第二犧牲圖案211時,在第二區域II之基板100上可形成第二導線圖案215。
第一導線圖案115可與第一鰭型突起部100P隔開形成,且第二導線圖案215可與第二鰭型突起部200P隔開形成。
此外,當移除第一虛設閘極電極120p、第一虛設閘極絕緣膜130p以及第一犧牲圖案111時,可形成由第一閘極間隔物140界定之第一溝槽140t。第一溝槽140t可與第一導線圖案115交叉。
此外,當移除第二虛設閘極電極220p、第二虛設閘極絕緣膜230p以及第二犧牲圖案211時,可形成由第二閘極間隔物240界定之第二溝槽240t。第二溝槽240t可與第二導線圖案215交叉。
第一閘極間隔物140可包含第一內部間隔物142及第一外部間隔物141。第二閘極間隔物240可包含第二內部間隔物242及第二外部間隔物241。
參看圖24及圖25,可沿第一溝槽140t之側壁及底表面及層間絕緣膜180之上部表面形成第一閘極絕緣膜130。此外,可沿第二溝槽240t之側壁及底表面及層間絕緣膜180之上部表面形成第二閘極絕緣膜230。
第一閘極絕緣膜130可沿第一導線圖案115之周邊及場絕緣膜105之上部表面形成。第二閘極絕緣膜230可沿第二導線圖案215之周邊及場絕緣膜105之上部表面形成。
在第一閘極絕緣膜130上可形成第一TaN膜121。第一TaN膜121可沿第一閘極絕緣膜130之輪廓形成。可在第一溝槽140t之側壁及底表面及層間絕緣膜180之上部表面上形成第一TaN膜121。第一TaN膜121可沿第一導線圖案115之周邊及場絕緣膜105之上部表面形成。
可在第二閘極絕緣膜230上形成第二TaN膜221。第二TaN膜221可沿第二閘極絕緣膜230之輪廓形成。可在第二溝槽240t之側壁及底表面及層間絕緣膜180之上部表面上形成第二TaN膜221。第二TaN膜221可沿第二導線圖案215之周邊及場絕緣膜105之上部表面形成。
在第一TaN膜121上可形成第一TiN膜122。可沿第一TaN膜121之輪廓形成第一TiN膜122。可在第一溝槽140t之側壁及底表面及層間絕緣膜180之上部表面上形成第一TiN膜122。第一TiN膜122可沿第一導線圖案115之周邊及場絕緣膜105之上部表面形成。
可在第二TaN膜221上形成第二TiN膜222。可沿第二TaN膜221之輪廓形成第二TiN膜222。可在第二溝槽240t之側壁及底表面及層間絕緣膜180之上部表面上形成第二TiN膜222。第二TiN膜222可沿第二導線圖案215之周邊及場絕緣膜105之上部表面形成。
藉由參看圖8至圖11所描述之製程,可移除第一TiN膜122。
可藉由用導電材料填充第一溝槽140t形成與第一導線圖案115交叉之閘極電極。此外,可藉由用導電材料填充第二溝槽240t形成與第二導線圖案215交叉之閘極電極。
綜上所述,所屬領域中具通常知識者將瞭解,在實質上不背離本發明之原理的情況下,可對實例實施例進行許多變化及修改。因此,所揭露之本發明概念之實例實施例僅用於一般及描述性意義,且並非出於限制性目的。
40‧‧‧遮罩圖案
50‧‧‧濕式蝕刻
100‧‧‧基板
100P‧‧‧第一鰭型突起部
105‧‧‧場絕緣膜
110‧‧‧第一鰭型圖案
111‧‧‧第一犧牲圖案
112‧‧‧第一主動圖案
115‧‧‧第一導線圖案
120‧‧‧第一閘極電極
120p‧‧‧第一虛設閘極電極
121‧‧‧第一TaN膜
122‧‧‧第一TiN膜
123‧‧‧第一上部電極膜
130‧‧‧第一閘極絕緣膜
130p‧‧‧第一虛設閘極絕緣膜
140‧‧‧第一閘極間隔物
140p‧‧‧第一預閘極間隔物
140t‧‧‧第一溝槽
141‧‧‧第一外部間隔物
142‧‧‧第一內部間隔物
150‧‧‧第一磊晶圖案
150r‧‧‧第一凹槽
180‧‧‧層間絕緣膜
200P‧‧‧第二鰭型突起部
210‧‧‧第二鰭型圖案
211‧‧‧第二犧牲圖案
212‧‧‧第二主動圖案
215‧‧‧第二導線圖案
220‧‧‧第二閘極電極
220p‧‧‧第二虛設閘極電極
221‧‧‧第二TaN膜
222‧‧‧第二TiN膜
223‧‧‧第二上部電極膜
230‧‧‧第二閘極絕緣膜
230p‧‧‧第二虛設閘極絕緣膜
240‧‧‧第二閘極間隔物
240p‧‧‧第二預閘極間隔物
240t‧‧‧第二溝槽
241‧‧‧第二外部間隔物
242‧‧‧第二內部間隔物
250‧‧‧第二磊晶圖案
250r‧‧‧第二凹槽
2001‧‧‧犧牲膜
2002‧‧‧主動膜
2100‧‧‧結構遮罩圖案
2101‧‧‧閘極硬式遮罩圖案
F1‧‧‧第一鰭型結構
F2‧‧‧第二鰭型結構
A-A、B-B、C-C、D-D、E-E、F-F、G-G、H-H‧‧‧線
I‧‧‧第一區域
II‧‧‧第二區域
X1、X2、Y1、Y2、Z1、Z2‧‧‧方向
藉由參考附圖詳細描述本發明之實例實施例,於本領域中具有通常知識者將對本發明之以上及其他特徵及優勢變得更加清楚。 圖1至圖14為說明製造之中間階段之視圖,說明藉由使用根據本發明之一些實例實施例之蝕刻組成物製造半導體元件的方法。 圖15至圖25為說明製造之中間階段之視圖,說明藉由使用根據本發明之一些實例實施例之蝕刻組成物製造半導體元件的方法。

Claims (20)

  1. 一種蝕刻組成物,對氮化鈦(TiN)具有蝕刻選擇性,所述蝕刻組成物包括: 相對於所述蝕刻組成物之總重量5重量%至30重量%之過氧化氫、15重量%至50重量%之酸化合物以及0.001重量%至5重量%之腐蝕抑制劑, 其中所述酸化合物包含以下至少一者:磷酸(H3 PO4 )、硝酸(HNO3 )、鹽酸(HCl)、氫碘酸(HI)、氫溴酸(HBr)、過氯酸(HClO4 )、矽酸(H2 SiO3 )、硼酸(H3 BO3 )、乙酸(CH3 COOH)、丙酸(C2 H5 COOH)、乳酸(CH3 CH(OH)COOH)以及乙醇酸(HOCH2 COOH)。
  2. 如申請專利範圍第1項所述的蝕刻組成物,其中所述酸化合物包括磷酸。
  3. 如申請專利範圍第1項所述的蝕刻組成物,其中所述腐蝕抑制劑包括以下至少一者:過氧硫酸銨、硫酸銨、磷酸二氫銨、磷酸氫二銨、磷酸三銨、氯化銨、乙酸銨、碳酸銨、硝酸銨、碘化銨、1,2,4-三唑、3-胺基三唑、5-胺基四唑、苯并三唑、吡唑、咪唑、抗壞血酸、檸檬酸、丁二酸、順丁烯二酸、丙二酸、硫代乙醇酸、鞣酸、沒食子酸甲酯、沒食子酸乙酯以及沒食子酸丙酯。
  4. 如申請專利範圍第1項所述的蝕刻組成物,更包括: 相對於所述蝕刻組成物之總重量0.001重量%至5重量%之螯合劑, 其中所述螯合劑包括以下至少一者:乙二胺四乙酸、亞胺基二乙酸、二伸乙三胺五乙酸、甘胺酸、丙胺酸、纈胺酸、白胺酸、異白胺酸、絲胺酸、蘇胺酸、酪胺酸、苯丙胺酸、色胺酸、天冬胺酸、麩胺酸、麩醯胺酸、天冬醯胺、離胺酸、精胺酸、組胺酸、羥基離胺酸、半胱胺酸、甲硫胺酸、胱胺酸、脯胺酸、胺基磺酸以及羥脯胺酸。
  5. 如申請專利範圍第1項所述的蝕刻組成物,更包括: 相對於所述蝕刻組成物之總重量0.001重量%至0.1重量%之界面活性劑, 其中所述界面活性劑包括以下至少一者:磺酸烷基酯、烷基磺酸銨、烷基醚磺酸酯、烷基芳基醚磺酸酯、磷酸烷基酯、烷基磷酸銨、烷基醚磷酸酯、烷基芳基醚磷酸酯、氟烷基磺醯亞胺、銨氟烷基磺醯亞胺、Cn H2n + 1 CH2 CH2 SO3 -NH4 +、Cn H2n + 1 CH2 CH2 SO3 H、(Cn H2n + 1 CH2 CH2 O)x PO(ONH4 +)y (OCH2 CH2 OH)z 、Cn H2n + 1 CH2 CH2 O(OCH2 CH2 OH)x H、Cn H2n + 1 SO2 N(C2 H5 )(CH2 CH2 )x H、Cn H2n + 1 CH2 CH2 OCH2 (OH)CH2 CH2 N(Cn H2n + 1 )2 、Cn H2n + 1 CH2 CH2 OCH2 (OCH2 CH2 )n CH2 CH2 N(Cn H2n + 1 )2 、Cn F2n + 1 CH2 CH2 SO3 -NH4 +、Cn F2n + 1 CH2 CH2 SO3 H、(Cn F2n + 1 CH2 CH2 O)x PO(ONH4 +)y (OCH2 CH2 OH)z 、Cn F2n + 1 CH2 CH2 O(OCH2 CH2 OH)x H、Cn F2n + 1 SO2 N(C2 H5 )(CH2 CH2 )x H、Cn F2n + 1 CH2 CH2 OCH2 (OH)CH2 CH2 N(Cn F2n + 1 )2 以及Cn F2n + 1 CH2 CH2 OCH2 (OCH2 CH2 )n CH2 CH2 N(Cn F2n + 1 )2 , 其中,n為1至20之間的整數,當x、y以及z同時存在時,x、y以及z為符合x+y+z=3之實數,且當x單獨存在時,x為1至3之整數。
  6. 如申請專利範圍第1項所述的蝕刻組成物,其中所述蝕刻組成物之pH等於或小於2。
  7. 如申請專利範圍第1項所述的蝕刻組成物,其中所述蝕刻組成物對於移除包含氮化鈦膜及氮化鉭膜之堆疊膜的所述氮化鈦膜具有選擇性。
  8. 如申請專利範圍第7項所述的蝕刻組成物,其中所述氮化鈦膜相對於所述氮化鉭膜的蝕刻選擇比等於或大於500。
  9. 如申請專利範圍第1項所述的蝕刻組成物,更包括: 去離子水(DIW)作為所述蝕刻組成物的其餘部分。
  10. 一種蝕刻組成物,對氮化鈦(TiN)具有蝕刻選擇性,所述蝕刻組成物包括過氧化氫、酸化合物以及腐蝕抑制劑, 其中所述酸化合物之重量相對於所述過氧化氫之重量的比率為1至7,且 所述酸化合物包括以下至少一者:磷酸(H3 PO4 )、硝酸(HNO3 )、鹽酸(HCl)、氫碘酸(HI)、氫溴酸(HBr)、過氯酸(HClO4 )、矽酸(H2 SiO3 )、硼酸(H3 BO3 )、乙酸(CH3 COOH)、丙酸(C2 H5 COOH)、乳酸(CH3 CH(OH)COOH)以及乙醇酸(HOCH2 COOH)。
  11. 如申請專利範圍第10項所述的蝕刻組成物,其中所述酸化合物為H3 PO4
  12. 如申請專利範圍第10項所述的蝕刻組成物,其中所述蝕刻組成物包括相對於所述蝕刻組成物之總重量5重量%至30重量%之所述過氧化氫及15重量%至50重量%之所述酸化合物。
  13. 如申請專利範圍第10項所述的蝕刻組成物,其中所述蝕刻組成物對於自氮化鈦膜及氮化鉭膜之堆疊膜移除所述氮化鈦膜具有選擇性,且 所述氮化鈦膜相對於所述氮化鉭膜的蝕刻選擇比等於或大於500。
  14. 如申請專利範圍第10項所述的蝕刻組成物,更包括: 螯合劑及界面活性劑中之至少一者。
  15. 一種蝕刻組成物,包括: 相對於所述蝕刻組成物之總重量5重量%至30重量%之過氧化氫、15重量%至50重量%之酸化合物以及0.001重量%至5重量%之腐蝕抑制劑, 其中所述腐蝕抑制劑為以下至少一者:過氧硫酸銨、硫酸銨、磷酸二氫銨、磷酸氫二銨、磷酸三銨、氯化銨、乙酸銨、碳酸銨、硝酸銨、碘化銨、1,2,4-三唑、3-胺基三唑、5-胺基四唑、苯并三唑、吡唑、咪唑、抗壞血酸、檸檬酸、丁二酸、順丁烯二酸、丙二酸、硫代乙醇酸、鞣酸、沒食子酸甲酯、沒食子酸乙酯以及沒食子酸丙酯。
  16. 如申請專利範圍第15項所述的蝕刻組成物,其中所述酸化合物包括磷酸。
  17. 如申請專利範圍第15項所述的蝕刻組成物,更包括: 相對於所述蝕刻組成物之總重量0.001重量%至5重量%之螯合劑, 其中所述螯合劑包括以下至少一者:乙二胺四乙酸、亞胺基二乙酸、二伸乙三胺五乙酸、甘胺酸、丙胺酸、纈胺酸、白胺酸、異白胺酸、絲胺酸、蘇胺酸、酪胺酸、苯丙胺酸、色胺酸、天冬胺酸、麩胺酸、麩醯胺酸、天冬醯胺、離胺酸、精胺酸、組胺酸、羥基離胺酸、半胱胺酸、甲硫胺酸、胱胺酸、脯胺酸、胺基磺酸以及羥脯胺酸。
  18. 如申請專利範圍第15項所述的蝕刻組成物,其中所述蝕刻組成物之pH等於或小於2。
  19. 如申請專利範圍第15項所述的蝕刻組成物,更包括: 去離子水(DIW)作為所述蝕刻組成物的其餘部分。
  20. 如申請專利範圍第15項所述的蝕刻組成物,其中所述酸化合物不包含硫系化合物。
TW106141667A 2016-11-29 2017-11-29 蝕刻組成物 TWI757381B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
??10-2016-0160023 2016-11-29
KR10-2016-0160023 2016-11-29
KR1020160160023A KR20180060489A (ko) 2016-11-29 2016-11-29 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법

Publications (2)

Publication Number Publication Date
TW201825651A true TW201825651A (zh) 2018-07-16
TWI757381B TWI757381B (zh) 2022-03-11

Family

ID=62193148

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106141667A TWI757381B (zh) 2016-11-29 2017-11-29 蝕刻組成物

Country Status (4)

Country Link
US (2) US10377948B2 (zh)
KR (1) KR20180060489A (zh)
CN (1) CN108122752B (zh)
TW (1) TWI757381B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827902B (zh) * 2020-03-11 2024-01-01 日商斯庫林集團股份有限公司 基板處理液、基板處理方法及基板處理裝置

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180060489A (ko) * 2016-11-29 2018-06-07 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
US10125316B2 (en) * 2016-12-20 2018-11-13 Innolux Corporation Etching solution and manufacturing method of display
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10870799B2 (en) * 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device
US10573521B2 (en) * 2018-01-30 2020-02-25 International Business Machines Corporation Gate metal patterning to avoid gate stack attack due to excessive wet etching
IL281436B2 (en) 2018-09-12 2024-05-01 Fujifilm Electronic Mat Usa Inc Etching mixes
KR102665340B1 (ko) * 2018-09-18 2024-05-14 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
US11037792B2 (en) * 2018-10-25 2021-06-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure etching solution and method for fabricating a semiconductor structure using the same etching solution
KR102591806B1 (ko) * 2018-11-12 2023-10-23 삼성디스플레이 주식회사 은 함유 박막의 식각 조성물, 이를 이용한 패턴 형성 방법 및 표시장치의 제조 방법
EP3674442A1 (en) 2018-12-24 2020-07-01 IMEC vzw Etching using an electrolyzed chloride solution
KR102653026B1 (ko) * 2019-03-07 2024-04-01 동우 화인켐 주식회사 식각액 조성물 및 이를 이용한 식각 방법 및 금속 패턴의 형성 방법
KR102243569B1 (ko) 2019-04-05 2021-04-23 주식회사 이엔에프테크놀로지 식각 조성물 및 이를 이용하는 식각 방법
KR20200124465A (ko) 2019-04-24 2020-11-03 주식회사 이엔에프테크놀로지 식각 조성물 및 이를 이용하는 식각 방법
KR20200141697A (ko) 2019-06-11 2020-12-21 삼성전자주식회사 반도체 장치
KR102354378B1 (ko) * 2019-08-27 2022-01-21 엘티씨에이엠 주식회사 과산화수소를 이용한 질화티탄막 식각용 고선택비 식각액 조성물
CN113126831A (zh) * 2019-12-31 2021-07-16 英属维尔京群岛商天材创新材料科技股份有限公司 蚀刻液、触控面板及其制作方法
US11309190B2 (en) * 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR20210100258A (ko) 2020-02-05 2021-08-17 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
CN114318344A (zh) * 2020-09-29 2022-04-12 上海飞凯材料科技股份有限公司 一种蚀刻组合物及其应用
KR20220164259A (ko) 2021-06-04 2022-12-13 주식회사 이엔에프테크놀로지 금속질화막 식각 조성물 및 이를 이용하는 식각 방법
CN114106835A (zh) * 2021-11-11 2022-03-01 Tcl华星光电技术有限公司 蚀刻液组合物及显示面板
CN114350365A (zh) * 2021-12-07 2022-04-15 湖北兴福电子材料有限公司 一种稳定蚀刻氮化钛的蚀刻液
CN114369462A (zh) * 2021-12-16 2022-04-19 湖北兴福电子材料有限公司 一种选择性蚀刻氮化钛及钨的蚀刻液

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6468913B1 (en) * 2000-07-08 2002-10-22 Arch Specialty Chemicals, Inc. Ready-to-use stable chemical-mechanical polishing slurries
KR20030057204A (ko) 2001-12-28 2003-07-04 동부전자 주식회사 절연막의 습식식각 또는 화학적 건식식각을 이용한 반도체커패시터 제조방법
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
KR100486300B1 (ko) 2003-01-14 2005-04-29 삼성전자주식회사 다마신 기법으로 비트라인을 형성하는 반도체 소자의 제조방법
WO2004101222A2 (en) * 2003-05-12 2004-11-25 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same
JP4535232B2 (ja) 2003-11-17 2010-09-01 三菱瓦斯化学株式会社 チタンまたはチタン合金のエッチング液
EP1965618B1 (en) 2005-12-20 2012-11-14 Mitsubishi Gas Chemical Company, Inc. Composition for removing residue from wiring board and cleaning method
KR20070070874A (ko) 2005-12-29 2007-07-04 주식회사 하이닉스반도체 미세 알루미늄패턴의 형성 방법
KR100831257B1 (ko) 2006-12-22 2008-05-22 동부일렉트로닉스 주식회사 시모스 이미지 센서 및 그 제조 방법
CA2677964A1 (en) * 2007-02-14 2008-08-21 Mallinckrodt Baker, Inc. Peroxide activated oxometalate based formulations for removal of etch residue
KR100953022B1 (ko) 2007-03-15 2010-04-14 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
JP5371416B2 (ja) * 2008-12-25 2013-12-18 富士フイルム株式会社 研磨液及び研磨方法
WO2011010872A2 (ko) 2009-07-22 2011-01-27 동우 화인켐 주식회사 금속 배선 형성을 위한 식각액 조성물
KR101602499B1 (ko) 2009-07-22 2016-03-11 동우 화인켐 주식회사 금속 배선 형성을 위한 식각액 조성물
KR101608088B1 (ko) 2009-07-23 2016-04-01 동우 화인켐 주식회사 액정표시장치용 어레이 기판의 제조방법
WO2011010879A2 (ko) 2009-07-23 2011-01-27 동우 화인켐 주식회사 액정표시장치용 어레이 기판의 제조방법
KR20110077281A (ko) 2009-12-30 2011-07-07 주식회사 동부하이텍 반도체 소자의 제조 방법
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
KR101339316B1 (ko) 2011-05-06 2013-12-09 솔브레인 주식회사 유리 손상이 없는 구리 / 몰리브데늄막 또는 몰리브데늄 / 구리 / 몰리브데늄 3중 막의 식각 조성물
JP6329909B2 (ja) * 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
JP2014103179A (ja) 2012-11-16 2014-06-05 Fujifilm Corp 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法
SG11201509933QA (en) * 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR20150050948A (ko) 2013-11-01 2015-05-11 솔브레인 주식회사 구리/몰리브데늄 합금막의 식각액 조성물
CN106460196A (zh) * 2014-03-18 2017-02-22 富士胶片电子材料美国有限公司 蚀刻组合物
JP6657770B2 (ja) 2014-11-27 2020-03-04 三菱瓦斯化学株式会社 液体組成物およびこれを用いたエッチング方法
KR101587758B1 (ko) 2015-03-05 2016-01-21 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
US9490255B1 (en) * 2015-12-01 2016-11-08 International Business Machines Corporation Complementary metal oxide semiconductor replacement gate high-k metal gate devices with work function adjustments
KR20180060489A (ko) * 2016-11-29 2018-06-07 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827902B (zh) * 2020-03-11 2024-01-01 日商斯庫林集團股份有限公司 基板處理液、基板處理方法及基板處理裝置

Also Published As

Publication number Publication date
US20190338186A1 (en) 2019-11-07
KR20180060489A (ko) 2018-06-07
US10377948B2 (en) 2019-08-13
TWI757381B (zh) 2022-03-11
CN108122752A (zh) 2018-06-05
CN108122752B (zh) 2022-12-27
US20180148645A1 (en) 2018-05-31
US10800972B2 (en) 2020-10-13

Similar Documents

Publication Publication Date Title
TWI757381B (zh) 蝕刻組成物
CN108231573B (zh) 蚀刻组合物及通过使用其制造半导体器件的方法
CN109427671B (zh) 用于半导体器件的鳍结构
US20200350417A1 (en) FinFET Device and Method of Manufacture
US12014960B2 (en) Etch profile control of polysilicon structures of semiconductor devices
US20170194232A1 (en) Semiconductor Structure and Method Making the Same
TWI679730B (zh) 半導體裝置之結構及其形成方法
KR20160026663A (ko) 소스/드레인 구조체 위에 콘택을 구비한 반도체 구조체 및 이의 형성 방법
KR20170013796A (ko) 게이트 스페이서 및 그 형성 방법
TWI728208B (zh) 半導體裝置及其形成方法
CN109860275A (zh) 半导体器件及其制造方法
TWI722485B (zh) 半導體結構及其形成方法
CN111128891A (zh) 半导体装置的制造方法
CN109585560A (zh) 半导体元件的制造方法
US11715779B2 (en) Multi-channel devices and methods of manufacture
US20170162394A1 (en) Semiconductor device and fabrication method thereof
CN109427564B (zh) 一种位于衬底上的finFET及其形成方法
US20230290687A1 (en) Nanostructure field-effect transistor device and method of forming
TW201814078A (zh) 以原子層沉積形成鎢層的方法
CN105047600B (zh) 半导体结构及其制造方法
TW202310057A (zh) 內連線結構
TW202307935A (zh) 半導體裝置及其形成方法