TW202310057A - 內連線結構 - Google Patents
內連線結構 Download PDFInfo
- Publication number
- TW202310057A TW202310057A TW111121925A TW111121925A TW202310057A TW 202310057 A TW202310057 A TW 202310057A TW 111121925 A TW111121925 A TW 111121925A TW 111121925 A TW111121925 A TW 111121925A TW 202310057 A TW202310057 A TW 202310057A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- dielectric
- conductive
- dielectric layer
- liner
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/535—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/7685—Barrier, adhesion or liner layers the layer covering a conductive structure
- H01L21/76852—Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Production Of Multi-Layered Print Wiring Board (AREA)
Abstract
一種內連線結構及其製造方法。此內連線結構包括:第一導電特徵、具有第一頂面且設置在第一導電特徵上的第一襯墊、鄰近第一導電特徵設置的第二導電特徵以及設置在第二導電特徵的至少一部分上的第二襯墊。第二襯墊具有第二頂面,且第一襯墊和第二襯墊各自包括二維材料。此內連線結構更包括設置在第一導電特徵和第二導電特徵之間的第一介電材料和設置在第一介電材料上的介電層。介電層具有第三頂面,且第一頂面、第二頂面和第三頂面是共平面的。
Description
本揭露實施例是關於一種內連線結構,特別是關於一種形成包括二維材料的襯墊的內連線結構。
隨著半導體產業引入具有更高性能和更多功能的新一代積體電路(integrated circuit;IC),形成積體電路的元件的密度增加,而元件之間的尺寸和間距縮小。 在過去,這種縮小僅受限於微影定義結構的能力,具有較小尺寸的裝置幾何形狀產生了新的限制因素。 隨著半導體裝置尺寸的減小,需要具有降低電容耦合的改良半導體裝置。
本揭露實施例提供一種內連線結構,包括:第一導電特徵、具有第一頂面且設置在第一導電特徵上的第一襯墊、鄰近第一導電特徵設置的第二導電特徵、以及設置在第二導電特徵的至少一部分上的第二襯墊。第二襯墊具有第二頂面,且第一襯墊和第二襯墊各自包括二維材料。此內連線結構更包括設置在第一導電特徵和第二導電特徵之間的第一介電材料和設置在第一介電材料上的介電層。介電層具有第三頂面,且第一頂面、第二頂面和第三頂面是共平面的。
本揭露實施例提供一種內連線結構,包括:第一導電特徵、具有設置在第一導電特徵上的第一頂面的第一襯墊、鄰近第一導電特徵設置的第二導電特徵、具有第二頂面且設置在第二導電特徵至少一部分上的第二襯墊、設置在第一導電特徵和第二導電特徵之間的第一介電材料、設置在第一介電材料上的第一介電層、以及設置在第一介電層上的第二介電層。第二介電層具有第三頂面,且第一頂面、第二頂面和第三頂面大致共平面。此內連線結構更包括設置在第一襯墊、第二襯墊和第二介電層上方的第二介電材料。此內連線結構亦包括設置在第二介電材料中的第三導電特徵,且第三導電特徵與第二導電特徵的至少一部分接觸。
本揭露實施例提供一種內連線結構的製造方法,包括:在一層上方形成導電層,在導電層中形成一或多個開口以形成一或多個導電特徵並暴露此層的複數個部分,在一或多個導電特徵中的每一者上形成襯墊,選擇性地在開口中形成第一介電材料,以及在每個第一介電材料上方選擇性地形成第一介電層。第一介電層的頂面與襯墊的頂面共平面。
以下的揭露內容提供許多不同的實施例或範例以實施本揭露實施例的不同特徵。在本揭露所述的各種範例中可重複使用參考標號及/或字母。這些重複是為了簡潔及清楚的目的,本身並不表示所揭露的各種實施例及/或配置之間有任何關係。此外,以下敘述構件及配置的特定範例,以簡化本揭露實施例的說明。當然,這些特定的範例僅為示範並非用以限定本揭露實施例。舉例而言,在以下的敘述中提及第一特徵形成於第二特徵上或上方,即表示其可包括第一特徵與第二特徵是直接接觸的實施例,亦可包括有附加特徵形成於第一特徵與第二特徵之間,而使第一特徵與第二特徵可能未直接接觸的實施例。此外,本揭露可以在各種範例中重複標號及/或字母。這種重複是為了簡單和清楚的目的,且其本身並不限定所述的各種實施例及/或配置之間的關係。
此外,在此可使用與空間相關用詞。例如「底下」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,以便於描述圖式中繪示的一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包括使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),且在此使用的空間相關詞也可依此做同樣的解釋。
第1圖繪示製造半導體裝置結構100的階段。如第1圖所示,半導體裝置結構100包括基底102,基底102具有從基底102延伸的基底部分104和設置在基底部分104上方的源極/汲極(source/drain;S/D)磊晶特徵106。基底102可以是半導體基底,例如體矽基底。在一些實施例中,基底102可以是元素半導體(例如結晶結構中的矽或鍺)、化合物半導體(例如矽鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、其他適合的材料或前述的組合。可能的基底102還包括絕緣體上矽(silicon-on-insulator;SOI)基底。 絕緣體上矽基底使用氧植入分離(separation by implantation of oxygen;SIMOX)、晶圓接合及/或其他適合的方法來製造。基底部分104可以透過使基底102的部分凹陷來形成。因此,基底部分104可以包括與基底102相同的材料。基底102和基底部分104可以包括已經適當地摻雜有雜質的各種區域(例如p型或n型雜質)。摻雜劑例如是用於p型場效電晶體(p-type field effect transistor;PFET)的硼和用於n型場效電晶體(n-type field effect transistor;NFET)的磷。源極/汲極磊晶特徵106可以包括半導體材料(例如Si或Ge)、三五族(III-V)化合物半導體、二六族(II-VI)化合物半導體或其他適合的半導體材料。範例性源極/汲極磊晶特徵106可包括但不限於 Ge、SiGe、GaAs、AlGaAs、GaAsP、SiP、InAs、AlAs、InP、GaN、InGaAs、InAlAs、GaSb、AlP、GaP或其他類似的材料。源極/汲極磊晶特徵106可以包括p型摻雜劑(例如硼)、n型摻雜劑(例如磷或砷)及/或其他適合的摻雜劑,包括前述的組合。
如第1圖所示,源極/汲極磊晶特徵106可以透過一或多個半導體層130連接,半導體層130可以是場效電晶體的通道。在一些實施例中,場效電晶體是包括複數個半導體層130的奈米結構場效電晶體,且每個半導體層130的至少一部分被閘極電極層136所包圍。半導體層130可以是或包括Si、Ge、SiC、GeAs、GaP、InP、InAs、InSb、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP、GaInAsP或其他適合的材料。在一些實施例中,每個半導體層130由Si製成。閘極電極層136包括一或多層導電材料,例如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、WCN、TiAl、 TiTaN、TiAlN、TaN、TaCN、TaC、TaSiN、金屬合金、其他適合的材料及/或前述的組合。在一些實施例中,閘極電極層136包括金屬。閘極介電層134可以設置在閘極電極層136和半導體層130之間。閘極介電層134可以包括兩層或更多層,例如界面層和高介電常數(high-k)介電層。在一些實施例中,界面層為氧化物層,高介電常數介電層包括氧化鉿(HfO
2)、矽酸鉿(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鋁鉿(HfAlO)、氧化鉿鑭(HfAlO)。 HfLaO)、氧化鉿鋯(HfZrO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鑭(LaO)、氧化鋁(AlO)、氧化鋁矽(AlSiO)、氧化鋯(ZrO)、氧化鈦(TiO)、氧化鉭(Ta
2O
5)、氧化釔(Y
2O
3)、氧氮化矽(SiON)、二氧化鉿-氧化鋁(HfO
2-Al
2O
3)合金或其他適合的高介電常數材料。
閘極介電層134和閘極電極層136可以透過內部間隔件132與源極/汲極磊晶特徵106分離。內部間隔件132可以包括介電材料,例如SiON、SiCN、SiOC、SiOCN或SiN。間隔件128可以設置在複數個半導體層130上方。間隔件128可以包括介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN、碳氧化矽、SiOCN及/或前述的組合。在一些實施例中,自對準接觸(self-aligned contact;SAC)層140形成在間隔件128、閘極介電層134和閘極電極層136上方,如第1圖所示。自對準接觸層140可以包括任何適合的材料,例如SiO、SiN、SiC、SiON、SiOC、SiCN、SiOCN、AlO、AlON、ZrO、ZrN或前述的組合。
接觸蝕刻停止層(contact etch stop layer;CESL)118和層間介電(interlayer dielectric;ILD)層120設置在源極/汲極磊晶特徵106上方,如第1圖所示。接觸蝕刻停止層118可以包括含氧材料或含氮材料,例如氮化矽、碳氮化矽、氮氧化矽、氮化碳、氧化矽、碳氧化矽、其他類似的材料或前述的組合。ILD層120的材料可以包括由四乙氧基矽烷(tetraethylorthosilicate;TEOS)形成的氧化物、未摻雜的矽酸鹽玻璃或摻雜的氧化矽,例如硼磷矽玻璃(borophosphosilicate;BPSG)、熔融石英玻璃(fused silica glass;FSG)、磷矽玻璃(phosphosilicate glass;PSG)、硼摻雜矽玻璃(boron doped silicon glass;BSG)及/或其他適合的介電材料。覆蓋層122可以設置在層間介電層120上,且覆蓋層122可以包括例如SiCN的含氮材料。
導電接觸126可以設置在層間介電層120中和源極/汲極磊晶特徵106上方,如第1圖所示。導電接觸126可以包括一或多種導電材料,例如Ru、Mo、Co、Ni、W、Ti、Ta、Cu、Al、TiN和TaN。矽化物層124可以設置在導電接觸126和源極/汲極磊晶特徵106之間。
如第1圖所示,半導體裝置結構100可以包括基底102和設置在基底102上方的裝置層200。裝置層200可以包括一或多個裝置,例如電晶體、二極體、圖像感測器、電阻器、電容器、電感器、記憶體單元、前述的組合及/或其他適合的裝置。在一些實施例中,裝置層200包括電晶體,例如上述具有被閘極電極層圍繞的複數個通道的奈米結構電晶體。術語「奈米結構」在本揭露中是用於表示具有奈米級或甚至微米級尺寸且具有細長形狀的任何材料部分,無論此部分的截面形狀為何。因此,此術語表示具圓形和大致圓形截面的細長材料部分,以及包括例如圓柱形或具大致矩形截面的梁狀或條狀材料部分。半導體裝置結構100的通道可以被閘極電極層圍繞。奈米結構電晶體可被稱為奈米片電晶體、奈米線電晶體、環繞式閘極(gate-all-around;GAA)電晶體、多橋通道(multi-bridge channel;MBC)電晶體或具有圍繞通道的閘極電極層的任何電晶體。在一些實施例中,裝置層200包括例如平面場效電晶體、鰭式場效電晶體(FinFET)、互補式場效電晶體(complementary FET;CFET)、叉形片(forksheet)場效電晶體或其他適合裝置的裝置。
如第2圖所示,半導體裝置結構100更可以包括設置在裝置層200和基底102上方的內連線結構300。內連線結構300包括各種導電特徵,例如第一複數個導電特徵304和第二複數個導電特徵306,以及金屬間介電(intermetal dielectric;IMD)層302以分離且隔離各種導電特徵304、306。在一些實施例中,第一複數個導電特徵304是導線,且第二複數個導電特徵306是導電通孔。內連線結構300包括多層的導電特徵304,且導電特徵304被配置在每個層中以提供到位於下方的裝置層200中的各種裝置的電路徑。導電特徵306提供從裝置層200到導電特徵304以及導電特徵304之間的垂直電路線。舉例而言,內連線結構300的最底部的導電特徵306可以電性連接到導電接觸126(第1圖)和閘極電極層136(第1圖)。導電特徵304和導電特徵306可以由一或多種導電材料製成,例如金屬、金屬合金、金屬氮化物或矽化物。舉例而言,導電特徵304和導電特徵306由銅、鋁、鋁銅合金、鈦、氮化鈦、鉭、氮化鉭、矽氮化鈦、鋯、金、銀、鈷、鎳、鎢、氮化鎢、矽氮化鎢、鉑、鉻、鉬、鉿、其他適合的導電材料或前述的組合。
金屬間介電層302包括一或多種介電材料以向各種導電特徵304、306提供隔離的作用。金屬間介電層302可以包括嵌入有多層導電特徵304、306的多個介電層。金屬間介電層302是由介電材料製成,例如SiO
x、SiO
xC
yH
z或SiO
xC
y,其中x、y和z是整數或非整數。在一些實施例中,金屬間介電層302包括介電常數值小於氧化矽的介電常數值的低介電常數介電材料。
第3A圖至第3H圖是根據一些實施例的製造內連線結構300的各個階段的截面側視圖。如第3A圖所示,內連線結構300包括介電層301,其可以是層間介電層或金屬間介電層。舉例而言,介電層301可以是SiCOH且具有介於約2.5到約3的範圍內的介電常數值。在一些實施例中,介電層301可以設置在層間介電層120上方(第1圖)。介電層301可以包括設置在其中的一或多個導電特徵(未圖示)。一或多個導電特徵可以電性連接到源極/汲極磊晶特徵106(第1圖)和閘極電極層136(第1圖)。在一些實施例中,導電特徵是第2圖中所示的導電特徵304或導電特徵306。介電層301可以包括含氧材料(例如氧化矽或摻氟矽酸鹽玻璃(FSG))、含氮材料(例如氮化矽、氮氧化矽(SiON)、SiOCN、SiCN)、低介電常數介電材料(例如介電常數值低於氧化矽的材料)、含碳材料(例如SiC、SiOC)或任何適合的介電材料。介電層301可以透過化學氣相沉積(CVD)、原子層沉積(ALD)、旋塗、物理氣相沉積(PVD)或其他適合的製程來形成。
如第3A圖所示,在介電層301上方形成導電層305。在一些實施例中,在介電層301上形成膠層(未圖示)且在膠層上形成導電層305。膠層可以包括Si、SiO、SiN、SiCN、SiON、SiOC、一或多種金屬氮化物、一或多種金屬或可沿形成在介電層301中的導電特徵(未圖示)提供導電層305與介電層301之間的黏著的其他適合的材料。導電層305可以包括導電材料,例如Cu、Co、Ru、Mo、Cr、W、Mn、Rh、Ir、Ni、Pd、Pt、Ag、Au、Al、Ta、FeCo、FeAl、前述的合金、前述的組合或其他適合的材料。在一些實施例中,導電層305包括一或多種金屬。導電層305可以透過任何適合的製程形成,例如物理氣相沉積、化學氣相沉積、電鍍或原子層沉積。
如第3B圖所示,在導電層305中形成一或多個開口307。在一些實施例中,可以在形成一或多個開口307之前在導電層305上形成遮罩(未圖示)。可以將遮罩圖案化,且圖案可以從遮罩轉移到導電層305以形成一或多個開口307。開口307將導電層305分隔成一或多個部分,例如複數個部分。在一些實施例中,導電層305的每個部分是導電特徵,例如導線。舉例而言,導電層305的每個部分可以是第2圖中所示的導電特徵304。
導電層305中的一或多個開口307可以透過一或多種蝕刻製程形成,例如乾式蝕刻、濕式蝕刻或前述的組合。舉例而言,可以進行例如反應離子蝕刻(reaction ion etching;RIE)製程的乾式蝕刻製程以形成一或多個開口307。反應離子蝕刻製程可以是離子束蝕刻(ion-beam etching;IBE),離子束蝕刻功率範圍介於約100V至約2000V,光束角範圍介於約0度至約70度,且在一或多種氣體下進行,例如He、Ne、Ar、Kr或Xe。光束角可以在光束方向和Z方向之間。反應離子蝕刻製程可以是電感耦合電漿(inductive coupled plasma;ICP)反應離子蝕刻,其功率範圍介於約100W至約2000W,例如約100W至約1500W,偏壓範圍介於約0V至約500V,例如約0V至約300V,且在一或多種氣體下進行,例如CH
3COOH、CH
3OH、CH
3CH
2OH、CF
4、CHF
3、CH
2F
2、C
4F
8、C
4F
6、N
2、O
2、Ar、Cl
2、SiCl
4、BCl
3或其他適合的有機氣體。
在一些實施例中,可以進行濕式蝕刻製程以形成一或多個開口307。濕式蝕刻可以包括濕式清潔成分和抑製劑成分。濕式清潔成分可包括苯乙腈、4-甲基-3-硝基苯甲腈、4-(溴甲基)苯甲腈、4-(氯甲基)苯甲腈、2-氟-4-(三氟甲基)苯甲腈、4-(三氟甲基)苯甲腈、二甘醇單丁醚、2-(2-丁氧乙氧)乙酸乙酯、二甘醇二甲醚、二甲亞碸、二甲基甲醯胺、聚(乙二醇)雙(胺)、(2-甲基丁基)胺、三(2-乙基己)胺、(4-異硫氰酸基苯)(3-甲基苯)胺、聚(乙二醇)甲醚胺、聚(乙二醇)二胺、三乙醇胺鹽酸鹽、三乙醇胺、三乙醇胺、柳酸三乙醇胺、2-氯乙基乙烯醚、2-[4-(二甲氨基)苯]乙醇、四乙基乙二胺、乙酸銨、氯化銨、硫酸銨、甲酸銨、硝酸銨、碳酸銨、氟化銨、過硫酸銨、氨磺酸銨、磷酸銨、1-乙醯基胍、任何適合的酸或其他適合的濕式清潔成分。抑製劑成分可以包括1-氯苯并三唑、5-氯苯并三唑、5-甲基-1氫-苯并三唑、1-甲基-1氫-1,2,3-苯并三唑-5-甲醛、1-甲基-1氫-1,2,3-苯并三唑-5-胺、1-甲咪唑、2-巰基-1-甲咪唑、1-甲咪唑-2-磺醯氯、5-氯-1-甲咪唑、5-碘-1-甲咪唑、噻唑、1-甲咪唑氯化物、2,5-二溴-1-甲基-1氫-咪唑、1氫-苯并三唑-4-磺酸、苯并三唑(benzotriazole;BTA)、類似於苯并三唑的材料或其他適合的抑製劑成分。
如第3C圖所示,襯墊308選擇性地形成在導電層305的每個部分上。襯墊308可以包括二維(two-dimensional;2D)材料。本揭露中使用的術語「二維材料」是指單層材料或單層型材料,其為具有層內共價鍵和層間凡德瓦鍵的原子級薄結晶固體。二維材料的範例可以包括石墨烯、六方氮化硼 (h-BN) 或過渡金屬二硫屬化物(MX
2),其中M是過渡金屬元素,X是硫屬化物元素。一些範例性MX
2材料可以包括但不限於Hf、Te
2、WS
2、MoS
2、WSe
2、MoSe
2或前述的任何組合。由於二維材料的特性,襯墊308的二維材料可以用作阻擋層和電路熱擴散層。
襯墊308可以選擇性地形成在導電層305的每個部分上。舉例而言,襯墊308的形成是金屬催化製程。因此,襯墊308形成在導電層305的每個部分的金屬表面上,而非形成在介電層301的介電表面上。襯墊308可以透過任何適合的製程例如化學氣相沉積形成。在一些實施例中,襯墊308具有介於約30埃到約50埃的範圍內的厚度。如果襯墊308的厚度小於約30埃,襯墊308可能不足以阻止隨後形成的介電材料310(第3D圖)和介電層312(第3E圖)形成在導電層305上及/或作為電路熱擴散層。另一方面,如果襯墊308的厚度大於約50埃,則製造成本可能會增加而不具顯著的優勢。
如第3D圖所示,介電材料310形成在每個開口307中的介電層301上方。介電材料310可以包括與介電層301相同的材料。介電材料310可以由任何適合的製程形成。在一些實施例中,介電材料310以由下而上的方式透過熱浸形成。舉例而言,一或多種前驅物(例如含矽前驅物和含氧前驅物)可以在介電層301的介電表面上反應以形成介電材料310。由二維材料製成的襯墊308不為前驅物提供反應位點以形成介電材料310。如此一來,介電材料310基本上不會形成在襯墊308上。由於介電層301是由下而上形成,介電材料310可以與形成在導電層305的部分的垂直表面上的部分襯墊308接觸。介電材料310未形成在形成於導電層305的部分的水平表面上的襯墊308的部分上。
沿Z方向的介電材料310的厚度可以比導電層305和襯墊308的組合厚度小約30埃至約50埃。透過以由下而上的方式形成介電材料310,可改善介電材料310的厚度控制。此外,由於介電材料310是由下而上形成,可以減少製程的數量,例如接在平面化製程之後的回蝕刻製程。
如第3E圖所示,介電層312選擇性地形成在開口307(第3C圖)中的介電材料310上。在一些實施例中,介電層312透過熱原子層沉積製程在約150攝氏度至約350攝氏度的溫度下形成在介電材料310上而非襯墊308上。襯墊308的二維材料防止介電層312的前驅物吸附在襯墊308上。每個介電層312可以形成在導電層305的相鄰部分之間。介電層312可以包括基於矽的材料,例如Si
xO
y、SiO
yN
x、Si
xO
yC
z、金屬氧化物(例如AlO
x)或金屬氮化物(例如AlN
x、HfN
x),其中x、y和z可以是整數或非整數。介電層312的材料可以不同於隨後形成的蝕刻停止層318(第3F圖),因此介電層312的蝕刻率在蝕刻製程期間可以大幅慢於蝕刻停止層318的蝕刻率。在一些實施例中,介電層312的介電常數值大於介電材料310的介電常數值。
介電層312可以具有介於約5埃到約100埃的範圍內的厚度。在一些實施例中,介電層312的厚度範圍介於約30埃到約50埃,且介電層312的頂面314可以與形成在導電層305的部分的水平面上的襯墊308的部分的頂面316大致上共平面,如第3E圖所示。介電層312防止隨後形成的導電特徵322(第3H圖)由於覆蓋移位而進入導電層305的相鄰部分之間。因此,如果介電層312的厚度小於約30埃,則介電層312可能不足以防止導電特徵322(第3H圖)進入導電層305的相鄰部分之間。另一方面,如果介電層312的厚度大於約50埃,製造成本可能會增加而不具有顯著優勢。此外,介電層312的頂面314和襯墊308的頂面316共平面可提高產品的良率百分比。
如第3F圖所示,蝕刻停止層318分別形成在共平面的介電層312的頂面314和襯墊308的頂面316上。蝕刻停止層318可由與介電層312相比具有不同蝕刻選擇性的材料製成。在一些實施例中,蝕刻停止層318由包括金屬的材料製成,例如金屬氧化物。舉例而言,蝕刻停止層318是金屬氧化物,且可以包括Al、Hf、Zr、Y或其他適合的金屬。在一些實施例中,蝕刻停止層318由氧化鋁或氧化鋯製成。蝕刻停止層318可以透過任何適合的製程形成,例如化學氣相沉積、原子層沉積、物理氣相沉積、電漿增加原子層沉積(plasma enhanced atomic layer deposition;PEALD)或電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)。蝕刻停止層318可以具有介於約5埃到約50埃的範圍內的厚度。
如第3G圖所示,介電材料320可以形成在蝕刻停止層318上。介電材料320可以包括與介電材料310相同的材料。在一些實施例中,介電材料320包括低介電常數介電材料,例如 SiC
xO
yH
z,其中x、y和z為整數或非整數。介電材料320可以透過任何適合的製程形成,例如化學氣相沉積、原子層沉積、物理氣相沉積、電漿增加原子層沉積或電漿增強化學氣相沉積,且可以具有介於約200埃到約500埃的範圍內的厚度。在一些實施例中,介電材料320是透過原子層沉積形成,且蝕刻停止層318可以透過原子層沉積增加介電材料320的沉積速率。
如第3H圖所示,一或多個導電特徵322形成在介電材料320中。導電特徵322可以包括可以形成在每個導電特徵322和介電材料320之間的阻擋層(未圖示)。導電特徵322可以包括設置在介電材料320中的第一開口中的第一部分324和設置在位於第一開口上方的第二開口中的第二部分326。第一開口和第二開口可以是雙鑲嵌製程的結果。舉例而言,可以首先透過圖案化設置在介電材料320上的硬遮罩(未圖示)且將圖案轉移到介電材料320的一部分來形成第二開口。然後透過覆蓋第二個開口的底部的一部分來形成第一開口。因此,第一開口的尺寸小於第二開口的尺寸。在一些實施例中,第一開口在第二開口之前形成。在一些實施例中,第一開口是通孔且第二開口是溝槽。介電材料320中的第一開口和第二開口可以透過任何適合的製程形成,例如一或多個蝕刻製程。在一些實施例中,一或多個蝕刻製程包括乾式蝕刻製程和濕式蝕刻製程。蝕刻製程亦移除蝕刻停止層318的一部分。在一些實施例中,由於覆蓋偏移,第一開口與導電層305的一部分略微未對準,且暴露出襯墊308的一部分和介電層312的一部分。在一些實施例中,襯墊308的暴露部分可以透過電漿處理移除,且暴露出導電層305的一部分。
如第3H圖所示,導電特徵322的第一部分324形成在介電層312的一部分上。如果介電層312不存在,則移除蝕刻停止層318的一部分的蝕刻製程亦可以移除設置在導電層305的相鄰部分之間的介電材料310的一部分。如此一來,後續形成的導電特徵可能形成在導電層305的相鄰部分之間的介電材料310中,這可能導致線對線的漏電。線對線漏電可能會導致可靠性問題,例如較差的擊穿電壓或與取決於時間的介電擊穿。如此一來,電容耦合也可能增加。由於介電層312設置在介電材料310上,用於移除蝕刻停止層318的部分的蝕刻製程基本上不會影響介電層312,因介電層312與蝕刻停止層318相比的蝕刻選擇性顯著不同。因此,利用介電層312,當存在覆蓋偏移問題時,線對線漏電的風險降低,且電容耦合會降低。如第3H圖所示,形成在蝕刻停止層318中的導電特徵322設置在介電層312上方而非在導電層305的相鄰部分之間。
第4A圖至第4D圖是根據替代實施例的製造內連線結構300的各個階段的截面側視圖。在一些實施例中,在形成介電材料310之後,在位於導電層305的相鄰部分之間的暴露介電材料310上形成介電結構400,如第4A圖所示。介電結構400包括第一介電層402和設置在第一介電層402上的第二介電層404。第一介電層402和第二介電層404可以透過與介電層312相同的製程形成。與形成上述介電層312類似的是,用於形成第一介電層402和第二介電層404的前驅物不形成在襯墊308上。因此,介電結構400可以選擇性地形成在介電材料310上而不會形成在襯墊上308。與第一介電層402相比,第二介電層404對蝕刻停止層318(第4B圖)可以具有增加的蝕刻選擇性,且第一介電層402可以具有比第二介電層404低的介電常數值。舉例而言,第一介電層402可以包括具有第一介電常數值的第一介電材料,第一介電常數值大致上等於或大於介電材料310的介電常數值,且第二介電層404可以包括具有大於第一介電常數值的第二介電常數值的第二介電材料。對於用在移除蝕刻停止層318(第4B圖)的部分的蝕刻劑,第二介電層404具有比第一介電層402的蝕刻速率更慢的蝕刻速率。第一介電層402的較低介電常數值有助於減少寄生電容。在一些實施例中,第一介電層402包括金屬氧化物或氧化矽,而第二介電層404包括不同的金屬氧化物或金屬氮化物。如第4A圖所示,第二介電層404的頂面406可以與襯墊308的頂面316大致上共平面。
介電結構400沿Z方向的總厚度範圍可以介於約30埃到約50埃。第二介電層404的厚度可為介電結構400的總厚度的約15%至約85%。第二介電層404可在蝕刻製程中具有與第一介電層402相比較高的介電常數值和較慢的蝕刻速率以移除蝕刻停止層318(第4B圖)的一部分。因此,如果第二介電層404的厚度小於介電結構400的總厚度的約15%,則第二介電層404可能在移除蝕刻停止層318(第4B圖)的一部分期間被移除,且可能在導電層305的相鄰部分之間形成導電特徵322(第4D圖)。另一方面,如果第二介電層404的厚度大於介電結構400的總厚度的約85%,導電層305的相鄰部分之間的介電材料的總介電常數值可能會不必要地增加。
如第4B圖所示,蝕刻停止層318可以形成在平坦表面上。如上所述,平坦表面會提高產品良率。如第4C圖所示,介電材料320可以形成在蝕刻停止層318上。如第4D圖所示,導電特徵322可以形成在介電材料320中。在一些實施例中,一或多個導電特徵322可能不與導電層305的相應部分大致對齊,如第4D圖所示。舉例而言,作為覆蓋偏移的結果,暴露部分導電層305的開口也可能暴露部分介電結構400。因為在形成開口的蝕刻製程中第二介電層404具有比蝕刻停止層318的蝕刻速率顯著更慢的蝕刻速率,所以第二介電層404可基本上不受用於移除蝕刻停止層318的一部分的蝕刻劑的影響。因此,形成在開口中的導電特徵322不會進入導電層305的相鄰部分之間。
本揭露的各種實施例提供形成在導電層305的部分上的襯墊308,因此介電層312或第一介電層402、第二介電層404選擇性地形成在介電材料310上。介電層312或第一介電層402、第二介電層404具有與蝕刻停止層318相比更高的蝕刻選擇性,因此當蝕刻停止層318的一部分被移除時,介電層312或第一介電層402、第二介電層404基本上不受影響。一些實施例可以實現優勢。舉例而言,襯墊308使介電層312或第一介電層402、第二介電層404選擇性地形成,這減少了製程的數量(即因選擇性沉積而可以省略平坦化製程及/或回蝕刻製程)。此外,介電層312或第一介電層402、第二介電層404防止在導電層305的相鄰部分之間形成導電特徵322,進而在發生覆蓋偏移時導致減少的線對線漏電和降低的電容耦合。
一實施例是內連線結構。此內連線結構包括第一導電特徵、具有第一頂面且設置在第一導電特徵上的第一襯墊、鄰近第一導電特徵設置的第二導電特徵以及設置在第二導電特徵的至少一部分上的第二襯墊。第二襯墊具有第二頂面,且第一襯墊和第二襯墊各自包括二維材料。此內連線結構更包括設置在第一導電特徵和第二導電特徵之間的第一介電材料和設置在第一介電材料上的介電層。介電層具有第三頂面,且第一頂面、第二頂面和第三頂面大致共平面。
在一些實施例中,此內連線結構更包括設置在第一頂面上以及第二頂面和第三頂面中的每一者的至少一部分上的蝕刻停止層。
在一些實施例中,此內連線結構更包括設置在蝕刻停止層上的第二介電材料。
在一些實施例中,此內連線結構更包括設置在第二介電材料中的第三導電特徵,其中第三導電特徵與第二導電特徵接觸。
在一些實施例中,第三導電特徵與介電層接觸。
在一些實施例中,第一介電材料具有第一介電常數值,且介電層具有顯著大於第一介電常數值的第二介電常數值。
在一些實施例中,第一介電材料與第一襯墊和第二襯墊接觸。
在一些實施例中,介電層的厚度範圍介於約30埃至約50埃。
另一實施例是內連線結構。此內連線結構包括第一導電特徵、具有第一頂面且設置在第一導電特徵上的第一襯墊、鄰近第一導電特徵設置的第二導電特徵、具有第二頂面且設置在第二導電特徵至少一部分上的第二襯墊、設置在第一導電特徵和第二導電特徵之間的第一介電材料、設置在第一介電材料上的第一介電層以及設置在第一介電層上的第二介電層。第二介電層具有第三頂面,且第一頂面、第二頂面和第三頂面大致共平面。此內連線結構更包括設置在第一襯墊、第二襯墊和第二介電層上方的第二介電材料。此內連線結構亦包括設置在第二介電材料中的第三導電特徵,且第三導電特徵與第二導電特徵的至少一部分接觸。
在一些實施例中,此內連線結構更包括設置在第一頂面、第二頂面和第三頂面上的蝕刻停止層,且第二介電材料設置在蝕刻停止層上。
在一些實施例中,蝕刻停止層由不同於第二介電層的材料製成。
在一些實施例中,第三導電特徵與第二介電層接觸。
在一些實施例中,第一介電層和第二介電層的總厚度範圍介於約30埃至約50埃。
在一些實施例中,第一介電層和第二介電層共同具有第一厚度,第二介電層具有第二厚度,且第二厚度為第一厚度的約15%至約85%。
另一實施例是一種內連線結構的製造方法。此方法包括在一薄層上方形成導電層,在導電層中形成一或多個開口以形成一或多個導電特徵並暴露此薄層的複數個部分,在一或多個導電特徵中的每一者上形成襯墊,選擇性地在開口中形成第一介電材料,以及在每個第一介電材料上方選擇性地形成第一介電層。第一介電層的頂面與襯墊的頂面大致共平面。
在一些實施例中,此方法更包括在第一介電層和襯墊的頂面上形成蝕刻停止層。
在一些實施例中,此方法更包括在蝕刻停止層上形成第二介電材料。
在一些實施例中,此方法更包括移除第二介電材料的一部分、蝕刻停止層的一部分和襯墊的一部分以暴露一或多個導電特徵的其中一者的至少一部分。
在一些實施例中,此方法更包括在第二介電材料中形成導電特徵,其中此導電特徵與一或多個導電特徵的上述其中一者的暴露部分接觸。
在一些實施例中,此方法更包括在每個第一介電材料上形成第二介電層,其中第一介電層形成在第二介電層上。
以上概述了許多實施例的特徵,使本揭露所屬技術領域中具有通常知識者可以更加理解本揭露的各實施例。本揭露所屬技術領域中具有通常知識者應可理解,可以本揭露實施例為基礎輕易地設計或改變其他製程及結構,以實現與在此介紹的實施例相同的目的及/或達到與在此介紹的實施例相同的優點。本揭露所屬技術領域中具有通常知識者也應了解,這些相等的結構並未背離本揭露的精神與範圍。在不背離後附申請專利範圍的精神與範圍之前提下,可對本揭露實施例進行各種改變、置換及變動。
100:半導體裝置結構
102:基底
104:基底部分
106:源極/汲極磊晶特徵
118:接觸蝕刻停止層
120:層間介電層
122:覆蓋層
124:矽化物層
126:導電接觸
128:間隔件
130:半導體層
132:內部間隔件
134:閘極介電層
136:閘極電極層
140:自對準接觸層
200:裝置層
300:內連線結構
301:介電層
302:金屬間介電層
304, 306:導電特徵
305:導電層
307:開口
308:襯墊
310:介電材料
312:介電層
314, 316:頂面
318:蝕刻停止層
320:介電材料
322:導電特徵
324:第一部分
326:第二部分
400:介電結構
402:第一介電層
404:第二介電層
406:頂面
根據以下的詳細說明並配合所附圖式以更好地了解本揭露實施例的概念。應注意的是,根據本產業的標準慣例,圖式中的各種特徵未必按照比例繪製。事實上,可能任意地放大或縮小各種特徵的尺寸,以做清楚的說明。在通篇說明書及圖式中以相似的標號標示相似的特徵。
第1圖是根據一些實施例的製造半導體裝置結構的階段的截面側視圖。
第2圖是根據一些實施例的製造半導體裝置結構的階段的截面側視圖。
第3A圖至第3H圖是根據一些實施例的製造內連線結構的各個階段的截面側視圖。
第4A圖至第4D圖是根據替代實施例的製造內連線結構的各個階段的截面側視圖。
300:內連線結構
301:介電層
305:導電層
308:襯墊
310:介電材料
312:介電層
318:蝕刻停止層
320:介電材料
322:導電特徵
324:第一部分
326:第二部分
Claims (1)
- 一種內連線結構,包括: 一第一導電特徵; 一第一襯墊,設置在該第一導電特徵上,其中該第一襯墊具有一第一頂面; 一第二導電特徵,設置在該第一導電特徵附近; 一第二襯墊,設置在該第二導電特徵的至少一部分上,其中該第二襯墊具有一第二頂面,且該第一襯墊和該第二襯墊各自包括一二維材料; 一第一介電材料,設置在該第一導電特徵和該第二導電特徵之間;以及 一介電層,設置在該第一介電材料上,其中該介電層具有一第三頂面,且該第一頂面、該第二頂面和該第三頂面共平面。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/460,173 US20230067027A1 (en) | 2021-08-28 | 2021-08-28 | Semiconductor device structure and methods of forming the same |
US17/460,173 | 2021-08-28 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202310057A true TW202310057A (zh) | 2023-03-01 |
Family
ID=84738252
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111121925A TW202310057A (zh) | 2021-08-28 | 2022-06-14 | 內連線結構 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20230067027A1 (zh) |
CN (1) | CN115566002A (zh) |
TW (1) | TW202310057A (zh) |
Family Cites Families (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11189560B2 (en) * | 2019-08-27 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device comprising etch stop layer over dielectric layer and method of manufacture |
-
2021
- 2021-08-28 US US17/460,173 patent/US20230067027A1/en active Pending
-
2022
- 2022-06-14 TW TW111121925A patent/TW202310057A/zh unknown
- 2022-08-08 CN CN202210943683.9A patent/CN115566002A/zh active Pending
Also Published As
Publication number | Publication date |
---|---|
CN115566002A (zh) | 2023-01-03 |
US20230067027A1 (en) | 2023-03-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11804486B2 (en) | Backside power rail and methods of forming the same | |
JP7123986B2 (ja) | 半導体デバイスを製造するための方法および半導体デバイス | |
TW201730937A (zh) | 半導體元件及其製造方法 | |
CN111243959B (zh) | 半导体器件及其制造方法 | |
TWI792483B (zh) | 半導體結構及其形成方法 | |
JP2022027654A (ja) | 垂直方向相補型トランジスタ | |
TW202213789A (zh) | 半導體結構之製造方法 | |
TWI793675B (zh) | 半導體裝置及其形成方法 | |
TWI780685B (zh) | 形成半導體裝置的方法 | |
US20240170337A1 (en) | Dielectric liner for field effect transistors | |
KR102481143B1 (ko) | 소스/드레인 컨택 구조체 | |
TW202205393A (zh) | 半導體裝置的製造方法 | |
US20240021472A1 (en) | Semiconductor device structure and methods of forming the same | |
TWI792465B (zh) | 半導體裝置、其形成方法及半導體結構 | |
US11476342B1 (en) | Semiconductor device with improved source and drain contact area and methods of fabrication thereof | |
TWI741419B (zh) | 半導體元件及其製造方法 | |
TW202238902A (zh) | 互連結構 | |
TW202310057A (zh) | 內連線結構 | |
US11942478B2 (en) | Semiconductor device structure and methods of forming the same | |
US20240006482A1 (en) | Semiconductor device and manufacturing method thereof | |
TW202310297A (zh) | 互連結構 | |
CN114823528A (zh) | 半导体装置 | |
CN114823529A (zh) | 半导体装置结构 | |
CN116978935A (zh) | 半导体结构及其形成方法 |