TW201114943A - Chemical vapor deposition apparatus capable of controlling discharging fluid flow path in reaction chamber - Google Patents

Chemical vapor deposition apparatus capable of controlling discharging fluid flow path in reaction chamber Download PDF

Info

Publication number
TW201114943A
TW201114943A TW099124739A TW99124739A TW201114943A TW 201114943 A TW201114943 A TW 201114943A TW 099124739 A TW099124739 A TW 099124739A TW 99124739 A TW99124739 A TW 99124739A TW 201114943 A TW201114943 A TW 201114943A
Authority
TW
Taiwan
Prior art keywords
chamber
vapor deposition
chemical vapor
deposition apparatus
susceptor
Prior art date
Application number
TW099124739A
Other languages
English (en)
Other versions
TWI393802B (zh
Inventor
Myung-Woo Han
Original Assignee
Lig Adp Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lig Adp Co Ltd filed Critical Lig Adp Co Ltd
Publication of TW201114943A publication Critical patent/TW201114943A/zh
Application granted granted Critical
Publication of TWI393802B publication Critical patent/TWI393802B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201114943 六、發明說明: 【交互參照之相關申請案】 本申請案主張受益於2009年7月28日向韓國智慧財 產局提出申請之10-2009-006883 1號的韓國專利申請 案’其全文在此併入本文作為參考。 【發明所屬之技術領域】 本發明大體上關於用於形成薄層的半導體製造設備, 更特定言之’是關於化學氣相沉積設備。 【先前技術】 金屬有機化學氣相沉積(MOC VD )是一種用於沉積薄 層至基材上的技術’其藉由將例如三族氣體及五族氣體 與基材在加熱的反應器中反應而完成。使用MOCVD, 藉由準確控制每一薄層之厚度或化學組成的能力,其能 夠建立許多薄層。因此’ M〇CVD廣泛地在半導體製造 製程中使用。 在反應器的處理腔室中形成薄層之後,處理腔室中殘 餘的氣體從處理腔室排放。當大氣溫度降至殘餘氣體排 放的蒸發溫度之下,此會誘導非期望的粒子形成於處理 腔至中。非期望的粒子會落至處理腔室中的基材上,且 其會難以獲得具有均勻之層品質或均勻之層厚分佈的薄 層。亦然,該等非期望粒子會附著至氣體排放路徑上, 201114943 引發保/m效應》此保溫效應是指在處理腔室之預設溫度 及真實溫度之間生成的溫度差,且此溫度差會負面影響 薄層品質》 再者,當未達到處理氣體之均勻氣流分佈時,例如會 由於在氣體排放路徑中產生漩渦而產生更多非期望的粒 子。一項在漩渦產生之肇因背後的原由是,介於感受器 及處理腔室之鄰近之壁之間的氣體排放路徑的不適當寬 度。 在習知MOCVD設備中’介於感受器及處理腔室壁之 間的氣體排放路徑的寬度是固定的,以此設計,不可能 控制氣體排放路徑之寬度。因此,當腔室中處理氣體之 流體流動分佈不適當時,需要再度設計及製造設備。 【發明内容】 本發明提供一種化學氣相沉積設備,其具有一構造, 該構造能夠控制介於感受器與處理腔室壁之間的氣體排 放路徑的寬度’而無須採取再度設計及製造該化學氣相 沉積設備。 根據本發明之態樣,一種化學氣相沉積設備包括:一 腔至,一感觉器,其定位在該腔室内,且一基材加載於 ,、上,一喷頭,其將一處理氣體朝該基材注入;以及一 導引單元’其以可拆卸式安裝於該腔室内以導引該處理 氣體,以致從該噴頭注入的該處理氣體透過形成於該腔 201114943 室中的一腔室孔洞排放。 根據本發明之另一態樣’有一種導弓丨單元用 氣相沉積設備,該設備包括一腔室;一咸夸哭 «λ又盗,其定位 在該腔室内,且基材加載於其上;一喑gg 只研,再將一處 理氣體朝該基材注入;而該導引單元以可拆卸式安裝於 該腔室内以導引從該噴頭注入的該處理氣體至形成於該 腔室中的一腔室孔洞。 根據本發明之另一態樣,有一種方法用於控制一化學 氣相沉積設備的一排放路徑’該設備包括一腔室;一感 受器,其定位在該腔室内,且一基材能加载於其上·一 嗔頭,其將一處理氣體朝該基材注入;該方法包括:安 裝一導引單元,該導引單元將該處理氣體導引至形成於 該腔室中的一腔室孔洞,以控制該處理氣體通過的該排 放路徑的寬度。 【實施方式】 現在,藉參考顯示本發明之示範性實施例的伴隨之圖 式,將可更全面地描繪本發明》然而,本發明可以不同 形式實施,不應詮釋成其欲限制在此提出的實施例。而 疋,提供該等實施例以致本發明所揭露者將可更透徹且 完整,且將全面傳達本發明之範疇予以熟習此技藝者。 在該等圖式中,區域及層的尺寸為了清晰說明起見可誇 張化《該等圖式中類似的元件符號係指類似元件。 201114943 第1圖是根據本發明之實施例之化學氣相沉積設備之 』面視圖,其顯示位在化學氣相沉積設備(其包含第一 腔室100與第二腔室200)内的導引單元61〇(其可置換, 將於下文針對第5至7圖更詳細解釋)。本實施例可應用 至其他各種化學氣相沉積設備以及一般的MOCVD設 備0 如第1圖所示,第一腔室1〇〇與第二腔室200彼此耦 接以形成化學氣相沉積設備。化學氣相沉積設備供以進 入第二腔室200的主要處理氣體G1,該氣體可為任何預 疋數目之處理氣體的組合物,而第【圖被指定為供給由 兩種處理氣體所製成的主要處理氣體G卜第一腔室1〇〇 中形成第-氣體人D 1G1以供給第—處理氣體,以及形 成第體出口(在第i圖之剖面視圖中未示)以供給 第二處理氣體。第一及第二氣體入口形成於穿過第一腔 室100的上表面,如第!圖所示之具有第一入口 1〇1的 實例。 惰氣入口 1 02可形杰盔饮,風处 〆烕為穿過第一腔室1〇〇的側表面以 將惰氣G2供給進入第二腔室2〇〇。 在於基材S上形成薄層之後, _ 傻如第1圖所不,複數個 穿過第二腔室200側表面的 〜股至孔洞201排出殘存的主 要處理氣體G1以及惰氣g2。 噴頭;300朝基材§供給主 受屣理氣體G1以在第二脓 室200令形成薄層。根據 一 I月之貫施例的主要處理 體GI包含第一處理氣體另笙 ” 轧體及第二處理氣體,而由第—及第 201114943 二處理氣體之間的反應所得的產物沉積於基材s上以形 成薄層。根據本發明之實施例,第一處理氣體可為包括 三族元素的氣體,而第二處理氣體可為包括五族元素的 氣體。 第一氣體分配空間310接收受穿過第一氣體入口導入 的第一處理氣體’並且將之分配至複數個第—氣體供給 管330進入第二腔室200。第二氣體分配空間32〇接收 受穿過第二氣體入口 (在第1圖中未示)導入的第二處 理氣體’並且將之分配至複數個第二氣體供給管34〇進 入第二腔室200。第一及第二分配空間310、320可形成 於喷頭300内。透過第一氣體供給管33〇供給的第一處 理氣體以及透過第二氣體供給管34〇供給的第二處理氣 體經混合以形成處理氣體G1。 惰氣注入單元4〇〇將惰氣G2注入至第二腔室200,以 加速排放處理氣體G1。惰氣注入單元4〇〇可形成為環或 甜甜圈形狀,在喷頭3〇〇外周邊、更靠近第一腔室1〇〇 . · · · 之侧壁處圍繞喷頭300。惰氣注入單元400具有複數個 在其下表面上形成的滲透孔洞。惰氣G2透過惰氣入口 102導至惰氣室401,而惰氣G2玎透過複數個滲透孔洞 向下注入至第二腔室200。 基材S加載於感受器5〇〇之上表面上,以致薄層可形 成於基材之上表面上。加熱器(圖中未示)可設於感受 器5 00内側。 為了形成均勻厚度的薄層,能夠旋轉感受器500的旋 201114943 轉元件501設於感受器5 00下方。在如第1圖所示之本 發明之實施例中,基材S及感受器500以單一主體旋轉。 導引單元610安置在第二腔室200内,以在薄層形成 之後將剩餘的處理氣體G1與惰氣G2導引進入腔室孔洞 2〇1 ’透過該腔室孔洞2〇1,殘餘的氣體G1、G2從第二 腔至排放。導引單元61〇的部份包括:第—壁部份611 (其形成為具有第—壁部份孔洞6 1 5 )、彎曲部份6 1 2以 及第二壁部份6 13。 如第1圖所示,第一壁部份611較靠近第二腔室2〇〇 之側壁,而第二壁部份613透過彎曲部份612連接至第 一壁部份611。第二壁部份613與第一壁部份611以一距 離dl隔開。導引單元6 1 0可由石英製成’且可類似桶狀。 第一壁部份613與感受器5〇〇以一預定距離隔開,以避 ,因感受H 500旋轉而引發的摩擦。然而,介於第二壁 邛伤613及感受器5〇〇之間的距離較佳為維持得盡可能 :此接近’以致應當排出第二腔室2〇〇的殘餘氣體不會 導入介於第二壁部份613及感受器5〇。之間的間隙。 —第壁部份61 i的第一壁部份孔洞615可對準第二腔 ^咖的腔室孔洞2〇1以容置排放的殘餘氣體之連續路 常:::壁部份613及第-壁部份之間的間距以非 -第1圖)時,在薄層形成於基材S上之後,由 故,由剩餘的處理氣體G1及情氣⑺構成的殘= 201114943 會平β地從第二腔室200排放。由於此不規則流體流 動,從處理氣體析出的粒子會過量附著至排放路徑,而 附著至排放路徑上的粒子是保溫效應的肇因。其將可能 導致反應空間800的溫度高於預設溫度且將會負面影響 所形成的薄層之品質。 第2圖是根據本發明之實施例之化學氣相沉積設備之 剖面視圖’該設備具有導引單元620,其適於實質上消 除第一腔室200内不規則的空氣流動。 如第2圖所示’導引單元620安裝成使第二壁部份623 與第一壁部份621以一距離d2 ( dl>d2 )隔開,而因此 不規則的流體流動不會產生於處理空間800内。第一壁 部份621可以圓柱狀配置以致與感受器5〇〇形成同心圓。 漩渦是否形成於第二腔室200内可由以下情況確定: 觀察化學氣相沉積設備之特定部份上的劇烈粒子堆積之 面積’或者基於第二腔室200内之反應空間800的形狀 執行電腦模擬,或者使用感測器偵測反應空間8〇〇内的 流體流動。 導引單元620導引薄層形成後剩餘的主要處理氣體Gi 以及惰氣G2進入複數個第一壁部份孔洞625。已經通過 第一壁部份孔洞625的流動氣體G1及G2隨後藉由延伸 部份624穿過複數個腔室孔洞2〇 1從第二腔室2〇〇排放。 第3圖是根據本發明之實施例之化學氣相沉積設備之 剖面視圖’該設備具有放置於其中的附屬板7 〇 〇,其為 了實質上消除第二腔室200内的不規則空氣流動。 10 201114943 藉由放置附屬板7〇〇於第二腔室200内,流體排放路 4虽 ώρ ζ — x、Ρ ’介於第二壁613與附屬板700之間的寬 度)進一步窄化為d3 ( dl>d2>d3 )。附屬板孔洞703行 成為穿過附屬板主冑70 1之部份。附屬板孔洞703可形 成於面向第一壁部份孔;同6 i 5的位置。連接附屬板孔洞 7 0 3 以及笛,一 e* . 2 °卩份孔洞615的連接部份702可設於附 屬板主體701的側表面中。 5圖疋根據本發明之實施例之化學氣相沉積設 備之面視圖’其顯示第-腔室100與第二腔室分離, 以置換導引單元610。 現在,參考第4至5圖,當不規則的流體流動在反應 空間800内偵測到時,可藉由手動或者或藉由機器人或 乂’、他自動化程序之方法開啟第一腔室100以從第二腔 室移除導引單元61〇,其為了將導引單元61G置換成其 他種類的㈣單元(如第2圖㈣之62G),或者為了插 入附屬板(諸如第3圖所示之7〇〇),以使從第二腔室排 放的殘餘處理氡體的排放路徑之寬度變窄。 第6圖是根據本發明之實施例之化學氣相沉積設備之 剖面視圖,其顯示具有與第4及5圖所示之導引單元61〇 不同尺寸之導W該導引單元620可藉由手動 或藉由機器人或以其他自動化程序之方法安裝於第二反 應腔室200中。 如第6圖所示 第二壁部份623 ’具有以d2隔開之第—壁部份621以及 的導引單元620安裝在第二腔室2〇〇中 11 201114943 介於感受器500及第二腔室200之側壁之間。已經分離 的第一腔室100隨後能與第二腔室200重新組裝在一起。 第7圖是根據本發明之實施例用於控制化學氣相沉積 設備之氣體排放路徑的方法流程圖。 在操作化學氣相沉積設備之後,藉由上述各種方法於 步驟S10檢查旋渦是否形成於第二腔室200中。舉例而 言’狻渦是否形成於第二腔室内可由以下情況確定:分 離第及第二腔室100、200並且觀察化學氣相沉積設備 之一個以上的特定部份上的劇烈粒子堆積之面積,或者 基於反應空間的形狀執行電腦模擬,或者使用感測器偵 測反應空間的流體流動。 當於步驟sίο產生確定漩渦時,於步驟sn將第一腔 室100從第二腔室200分離。 接著,於步驟S12,第二腔室200中的導引單元以另 一更小尺寸的導引單元置換,或者以具窄化的排放路徑 (殘餘處理氣體流穿過之而從第二腔室200 .排出)之寬 度的導引單元置換。或者’於步驟S12可插入附屬板, 乂實現比殘餘處理氣體流從第二腔室2〇〇排出所穿過的 現存導引單元所設之寬度還窄的排放路徑寬度。執行步 驟SU是由於確定處理氣體排放路徑之寬度大得足以 生漩渦。 在步驟S 13,第-及第二腔室重新組裝。 接者’在步驟S14 ’回復化學氣相沉積設備之操作。 在步驟sio之後’第二腔室2〇〇再度受到檢查以確定 12 201114943 是否漩渦在第二腔室200内產生。當偵測到漩渦,則重 複步驟S11-S14。當漩渦沒有被偵測到,於步驟2〇針對 設定值再度檢查排放的處理氣體之壓力或者處理氣體之 排放速率,以確定排放壓力或排放速率是否處於高值。 排放壓力或排放速率可由使用壓力感測器等偵測而得。 當於步驟S20確定排放壓力或排放速率較預設值高 時,在步驟S21將第一腔室1〇〇從第二腔室2〇〇分離。 接著,在步驟S22中,第二腔室2〇〇中的導引單元以 另一更大尺寸的導引單元置換,或者以具變寬的排放路 徑(殘餘處理氣體流穿過之而由第二腔室2〇〇排出)寬 度之導引單元置換。執行步驟S22是由於較預設值高的 排放壓力或高排放速率是由小於最佳寬度的排放路徑之 寬度而引發。 接著,在步驟S23,第一和第二腔室重新組裝,並且 於步驟S24回復操作化學氣相沉積設備。在步驟S2〇之 後,第二腔室200再度受到檢查以確定是否排放壓力或 排放速率高於設定值。當於步驟S20確定排放壓力或排 放速率相較預設值高時’則重複步驟S21-S24。當於步 驟S20確定排放壓力或排放速率相較預設值不至於非常 高或充分最佳化時’能確定操作期間排放路徑適當地形 成於第二腔室中而無引發其中的流體流動之漩渦。因 此,可繼續操作化學氣相沉積設備。 當然,相關於偵測是否排放壓力或排放速率較預設值 南的步驟S20至S24可在偵測是否產生璇渦的步驟s! 〇 13 201114943 至S14之前執行。 根據本發明之實施例 2〇〇的殘餘 即使離開第二腔室 氣體之排放路徑設計得不適當,排放路徑仍可藉由僅置 換導引單元或插入用於導引單元的額外部件(例如,第 3圖中的附屬板700)而適當地控制,無須重新設計及/ 或重新裝配化學氣相沉積設備。 參考本發明之示範性實施例,在此已詳盡顯示及描述 本發明,熟習此技藝者將瞭解各種形式上及細節上的改 變可不背離本發明之精神與範疇而在此製做’而本發明 之範鳴與精神由隨後的申請專利範圍所界定。因此,本 發明實施例之未來的修改形式不能背離本發明之技術範 疇。 【圖式簡單說明】 藉由參考附加的圖式而描述本發明之詳細示範性實施 例’本發明之上述及其他特徵與優點將會更明顯易懂。 該等圖式中, 第1圖是根據本發明之實施例之化學氣相沉積設備之 剖面視圖’其顯示安裝在腔室内的可置換的導引單元; 第2圖是根據本發明之實施例之化學氣相沉積設備之 剖面視圖,該設備具有導引單元,其適於實質上消除腔 室内不規則的空氣流動; 第3圖是根據本發明之實施例之化學氣相沉積設備之 14 201114943 剖面視圖’該設備具有放置於其中的附屬板其為了實 質上消除腔室内不規則的空氣流動; 第4至5圖是根據本發明之實施例之化學氣相沉積設 備之剖面視圖,其顯示開啟腔室以置換其中的導引單元。 第6圖疋根據本發明之實施例之化學氣相沉積設備之 剖面視圖’其顯示在腔室中置換的不同尺寸之導引單 凡,該導引單元可藉由手動或藉由機器人或以其他自動 化程序之方法置換。 第7圖是根據本發明之實施例用於控制化學氣相沉積 設備之氣體排放路徑的方法流程圖。 【主要元件符號說明】 100 第一腔室 500 感受器 101 第一入口 501 旋轉元件 102 惰氣入口 610 導引單元 200 第二腔室 611 、6 1 3壁部份 201 孔洞 612 彎曲部份 300 喷頭 615 第一壁部份孔洞 310 、320氣體分配空 620 導引單元 621 、623壁部份 330 、340氣體供給管 624 延伸部份 400 惰氣注入早元 625 第一壁部份孔洞 401 惰氣室 700 附屬板 15 201114943 701附屬板主體 G1主要處理氣體 702連接部份 G2惰氣 703 附屬板孔洞 dl、d2、d3 距離 800反應空間 S基材 S10-S20 步驟 16

Claims (1)

  1. 201114943 七、申請專利範圍: 1 一種化學氣相沉積設備,其包括: ~腔室,其具有用於將—處理氣體導入該腔室之 一氣體入口,以及用於將一氣體排出該腔室之一氣體 出〇 ; —感受器’具有能夠加載一基材於其上的一表 面,其定位在該腔室内側,其中該處理氣體朝該感受 器之該表面提供;以及 —可置換的導引單元’其以可拆卸式安裝於該腔 室内以導引該腔室中的該處理氣體至該氣體出口。 •如清求項第1項所述之化學氣相沉積設備,其進—步 包含: —噴頭,其朝能夠加載一基材於其上的該感受器 之該表面提供該處理氣體。 3 » •如請求項第1項所述之化學氣相沉積設備’其中該導 引單元配置在腔室中以圍繞該感受器。 4’如清求項第1項所述之化學氣相沉積設備,其中該導 弓丨單元包含一第一壁部份,該第一壁部份與該感受器 相距一Ώ: ~ 距離定位於腔室内。 17 201114943 5. 如請求項货 呀第4項所述之化學氣相沉積設備,其中該第 壁。P份在形狀上為圓柱狀,且該感受器定位於該圓 柱狀第一壁部份之内側。 6. 如明求項第4項所述之化學氣相沉積設備,其中該導 引單元、隹 延一步包含一彎曲部份,該彎曲部份從該第一 壁部份之—下端朝該感受器延伸。 7. 如β求項第4項所述之化學氣相沉積設備其中該導 引單元進—步包含連接至該第一壁部份的一第二壁 邓伤其中該第二壁部份環繞其中的該感受器,且其 中°亥第—壁部份以介於該第一及第二壁部份之間的 一預定距離環繞該第二壁部份。 8. 如明求項第7項所述之化學氣相沉積設備,其中該第 第卩伤在开> 狀上為圓柱狀,而該第一壁部份 的隸大於該第二壁部份之直徑,且其中該第二壁部 伤疋位於緊靠該感受器而不致於引發二者之間的摩 擦0 之化學氣相沉積設備,其中該導 二壁部份之該等下端藉由一彎 9.如請求項第8項所述 引早元的該第一及第 曲部份連接。 18 201114943 1 ο.如請求項第 一壁部份形 該氣體出D 8項所述之化學氣相沉積設備,其中該第 成為具有一第一壁部份孔洞以產生通往 的一排放路徑。 11.如請求項第 7項所述之化學氣相沉積設備,其中該 引單元進-步包含一附屬板 J °卩伤及該第二壁部份之間 導
    12.如請求jg # , 喝第11項所述之化學氣相沉積設備,其中輕 /弟一壁部份及該第二壁部份之間的該附屬板 在形狀上為圓柱形。 13·如晴求項第11項所述之化學氣相沉積設備,其中該 附屬板形成為具有一附屬板孔洞以產生通往該第一 壁部份孔洞的一排放路徑。 14·如吻求項第1項所述之化學氣相沉積設備,其中該導 引單元由石英製成。 15·—種用於一化學氣相沉積設備的可置換之導引單 元,該設備包含:一腔室;一感受器,其具有一表面 用以使一基材加載於其上’且其定位在該腔室内;以 及’ 一喷頭,其將一處理氣體朝該感受器之該表面注 入;其中該可置換之導引單元以可拆卸式安裝於該腔 19 201114943 室内以將從 腔室中的— 該噴頭注入的該處理氣體導至形成於該 腔室孔洞。 16· 一種用;^批庄, 、控制一化學氣相沉積設備中一氣體之一排 放路彳里之t、土 〈万去,該設備具有:一腔室;一感受器,其 、有表面用以使—基材加載於其上,且其定位在該 腔室內 · ’以及一喷頭,其將一處理氣體朝該基材之該 面〉主 X · ^ ’該方法包含以下步驟: 安裝具有—第一側壁的一第一導引單元,該第一 導引單疋將該氡體導引至形成於該腔室中的一腔室 孔'同以控制該處理氣體通過的該排放路徑之寬度。 如咕求項第16項所述之方法,其進一步包含以下步 驟: 於該感受器及該第一導引單元之該第一側壁之 間插入一附屬板以控制該處理氣體夂一排放路徑之 該寬度。 18.如明求項帛16項所述之方法,其進一步包含以下步 驟.: 以具有一第二側壁的一第二導引單元置換該第 一導引單7L’其中介於該第一壁及第二壁至該感受器 之間的距離不同,以控制該處理氣體之一排放路徑之 該寬度。 20 201114943 19·如請求項第18項所述之方法,其中該第一及第二側 壁在形狀上為圓柱狀’以致該第二導引單元表現為減 少該處理氡體之一排放路徑之該寬度,其中該第二導 引單tl之—半徑小於該第—導引單元之一半徑。 2〇.如°月求項第18項所述之方法,其中該第-及第二側 壁在形狀上為圓柱狀,_該第二導引單元表現為増 加該處理顏艘夕 J.., 机體之一排玫路徑之該寬度,其中該第二導 引單元之—半徑 卞仪太於該第一導引單元之—半徑。
TW099124739A 2009-07-28 2010-07-27 能夠控制反應腔室中排放流體之流徑的化學氣相沉積設備 TWI393802B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090068831A KR101245769B1 (ko) 2009-07-28 2009-07-28 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법

Publications (2)

Publication Number Publication Date
TW201114943A true TW201114943A (en) 2011-05-01
TWI393802B TWI393802B (zh) 2013-04-21

Family

ID=43525786

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099124739A TWI393802B (zh) 2009-07-28 2010-07-27 能夠控制反應腔室中排放流體之流徑的化學氣相沉積設備

Country Status (4)

Country Link
US (1) US8876974B2 (zh)
KR (1) KR101245769B1 (zh)
CN (1) CN101985746A (zh)
TW (1) TWI393802B (zh)

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102560429B (zh) * 2012-03-13 2014-12-03 中微半导体设备(上海)有限公司 金属有机气相沉积装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (zh) * 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102231596B1 (ko) * 2013-02-06 2021-03-25 어플라이드 머티어리얼스, 인코포레이티드 가스 주입 장치 및 가스 주입 장치를 포함한 기판 프로세스 챔버
CN103397309A (zh) * 2013-08-02 2013-11-20 光垒光电科技(上海)有限公司 进气装置及反应腔室
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
CN104746042A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及等离子体加工设备
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
DE102017203255A1 (de) * 2016-03-02 2017-09-07 Veeco Instruments Inc. Peripheriespülverschluss -und flusssteuerungssysteme und -verfahren
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20200291523A1 (en) * 2016-06-02 2020-09-17 Applied Materials, Inc. Continuous chemical vapor deposition (cvd) multi-zone process kit
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022155065A (ja) * 2021-03-30 2022-10-13 東京エレクトロン株式会社 基板処理装置及び基板処理方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102489015B1 (ko) * 2021-11-10 2023-01-13 신정훈 질화갈륨 단결정 성장을 위한 하이드라이드 기상 증착 장비
CN114807904A (zh) * 2022-04-27 2022-07-29 无锡先为科技有限公司 Cvd反应器及其应用的导流组件
CN115101400B (zh) * 2022-08-25 2022-11-15 拓荆科技(上海)有限公司 半导体加工装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6176929B1 (en) * 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
JP2000349078A (ja) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
JP4583591B2 (ja) * 2000-12-15 2010-11-17 東京エレクトロン株式会社 処理方法及び処理装置
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
FR2851258B1 (fr) * 2003-02-17 2007-03-30 Commissariat Energie Atomique Procede de revetement d'une surface, fabrication d'interconnexion en microelectronique utilisant ce procede, et circuits integres
JP3940095B2 (ja) * 2003-05-08 2007-07-04 忠弘 大見 基板処理装置
JP4445226B2 (ja) * 2003-08-06 2010-04-07 株式会社アルバック 薄膜製造装置
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
KR100673003B1 (ko) * 2005-06-03 2007-01-24 삼성전자주식회사 증착 장치
CN100369192C (zh) 2005-12-26 2008-02-13 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工系统反应腔室
CN100573816C (zh) 2006-12-06 2009-12-23 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室内衬及包含该内衬的反应腔室
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
CN101441982A (zh) 2007-11-20 2009-05-27 北京北方微电子基地设备工艺研究中心有限责任公司 腔室内衬及等离子体加工装置
KR101161407B1 (ko) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치

Also Published As

Publication number Publication date
TWI393802B (zh) 2013-04-21
US8876974B2 (en) 2014-11-04
KR101245769B1 (ko) 2013-03-20
KR20110011268A (ko) 2011-02-08
CN101985746A (zh) 2011-03-16
US20110027480A1 (en) 2011-02-03

Similar Documents

Publication Publication Date Title
TW201114943A (en) Chemical vapor deposition apparatus capable of controlling discharging fluid flow path in reaction chamber
JP6912164B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
CN108239766B (zh) 成膜装置、成膜方法以及隔热构件
TWI806986B (zh) 基材製程裝置及方法
KR102489449B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
JP7171165B2 (ja) 膜プロフィール調整のためのシャワーヘッドカーテンガス方法及びシャワーヘッドガスカーテンシステム
TWI434334B (zh) 電漿cvd裝置
TWI612174B (zh) 化學氣相沉積設備、設備、以及化學氣相沉積之方法
KR20180054366A (ko) 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20090311872A1 (en) Gas ring, apparatus for processing semiconductor substrate, the apparatus including the gas ring, and method of processing semiconductor substrate by using the apparatus
TW201446330A (zh) 處理腔室中之清洗及電漿抑制方法及設備
KR20100014707A (ko) 베벨 에지 에처를 위해 가스를 분배하는 방법 및 시스템
US20120135609A1 (en) Apparatus and Process for Atomic Layer Deposition
CN101413111A (zh) 成膜装置及其使用方法
JP4114972B2 (ja) 基板処理装置
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
JP2010118541A (ja) プラズマ処理装置およびプラズマ処理方法
US9748117B2 (en) Substrate treating apparatus and substrate treating method
US20090202720A1 (en) Film Forming and Cleaning Method
CN111378959A (zh) 成膜装置和成膜处理方法
KR101284084B1 (ko) 기판 처리 장치
JP2006228782A (ja) 枚葉式エピタキシャルウェーハ製造装置およびその保守方法
KR100597627B1 (ko) 플라즈마 반응 챔버
JP2007173747A (ja) Cvd装置およびクリーニング方法
KR20120077512A (ko) 배기 부재 및 기판 처리 장치