TWI806986B - 基材製程裝置及方法 - Google Patents

基材製程裝置及方法 Download PDF

Info

Publication number
TWI806986B
TWI806986B TW108108224A TW108108224A TWI806986B TW I806986 B TWI806986 B TW I806986B TW 108108224 A TW108108224 A TW 108108224A TW 108108224 A TW108108224 A TW 108108224A TW I806986 B TWI806986 B TW I806986B
Authority
TW
Taiwan
Prior art keywords
gas
injection
flow
substrate processing
process gas
Prior art date
Application number
TW108108224A
Other languages
English (en)
Other versions
TW201945580A (zh
Inventor
阿揚 克拉韋爾
Original Assignee
荷蘭商Asm 智慧財產控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm 智慧財產控股公司 filed Critical 荷蘭商Asm 智慧財產控股公司
Publication of TW201945580A publication Critical patent/TW201945580A/zh
Application granted granted Critical
Publication of TWI806986B publication Critical patent/TWI806986B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Abstract

本發明揭示提供一種基材製程裝置,所述基材製程裝置具有一反應腔與一構造及配置成固持至少一基材在該反應腔室中之基材固持器。一第一和第二氣體注入管提供從一來源管至該反應腔室的內部之製程氣體。一氣體控制系統提供從該來源管至所述第一注入管的製程氣體的流動,同時限制從該來源管至所述第二注入管的相同製程氣體的流動。

Description

基材製程裝置及方法
本發明有關一種基材製程裝置及方法。尤其是,本發明有關一種基材製程裝置,所述基材製程裝置具有一反應腔室與一構造及配置成將至少一基材固持在所述反應腔室之基材固持件。一氣體注入管系統在氣體控制系統的控制下可將製程氣體從一來源管提供至該反應腔室的內部。
所述用於基材製程(諸如,例如半導體晶圓)的基材製程裝置可包括一加熱構件,其置放在功能如同反應腔室的一鐘罩形製程管周圍。所述製程管的上端可例如藉由一圓頂形結構封閉,然而所述製程管的下端面可敞開。下端可以由凸緣來部分封閉。由製程管和凸緣劃分之反應腔室的內部形成反應腔室,其中待處置的晶圓可被處理。所述凸緣可具有一用於將承載晶圓的晶舟插入內部之入口孔。晶舟可以放置在可垂直移動地配置且構造成封閉凸緣中的入口孔之門上。
所述裝置可更具有一流體連通所述反應腔室的內部之氣體注入管系統。所述氣體注入管系統可具有一注入管,其在所述注入管中具有至少一開口。透過所述注入管,一製程氣體可經由所述至少一開口流入內部而與基材起反應。
一流體連通內部的排氣口可提供。所述排氣口可連接到一真空 泵,用於將氣體抽離所述反應腔室的內部。此構造可導致從注入管通過反應腔室至排氣口的氣體流動。流動中的氣體可為用於基材沉積反應的反應(製程)氣體。此反應氣體亦可沉積在所述反應腔室內部的基材之外的其他表面上。
在所述注入管系統的注入管內的沉積可能導致注入管或所述注入管之至少一開口堵塞,此可能對所述注入管系統的工作有害。在注入管中的進一步沉積可能在所述反應腔室的加熱及/或冷卻期間導致薄片剝離,此可能污染基材。藉由在裝置維護期間將注入管替換成一新的清潔注入管,可減輕這些問題。若要將所述注入管替換成一新的清潔注入管,必須打開所述反應腔室,此對裝置的停機與中斷生產可能是麻煩程序。
因此,可能需要一種能夠導致產量增加之改善的基材製程裝置及方法。
因此,可提供一種基材製程裝置,其包括:一反應腔與一構造及配置成將至少一基材固持在該反應腔室中之基材固持器。該基材製程裝置可包括一構造及配置成提供製程氣體至該反應腔室的內部之氣體注入管系統。該氣體注入管系統可具有一構造及配置成控制來自一來源管的製程氣體的流動之氣體控制系統。該氣體注入管系統可包括一第一和第二注入管,用於將相同製程氣體提供至該反應腔室。該氣體控制系統可構造及/或編程成提供從該來源管至所述第一和第二注入管之一者的製程氣體的流動,同時限制至所述第一和第二注入管之另一者的相同製程氣體的流動。
藉由使用所述第一和第二注入管之一者可增加生產週期,同時限制製程氣體流過所述第一和第二注入管之另一者,以保持所述第一和第二注入管之另一者源頭清潔。該一注入管內的沉積可能導致其惡化,而且稍後可使 用清潔的另一注入管來降低惡化。然後可限制通過該一第一注入管的製程氣體的流動,同時使用另一注入管進行沉積。
在所述第一和第二注入管之間切換製程氣體可能導致較長的生產週期,因為相較於僅在一注入管中沉積的情況,在所述第一和第二注入管中沉積所需的時間較長。該氣體控制系統可構造及/或編程成當所述第一注入管劣化及/或僅是週期性時,則切換從所述第一注入管至所述第二注入管的製程氣體的流動。所述第一和第二注入管之間的切換可來回進行一或多次。
只有當所述第一和第二注入管兩者已劣化時,可能需要替換所述第一和第二注入管,而且可打開該反應腔室。藉由使用兩注入管可延長生產週期,從而導致提高的生產率。必須瞭解,該注入管系統中的注入管數量可增加到三、四或甚至五個,以進一步增加產量。
根據一實施例,提供一種基材製程方法,其包括:在一反應腔室中的一基材固持件上提供一基材;使用一第一氣體注入管提供從一來源管至該反應腔室的內部的製程氣體的流動;及限制從該來源管至一第二注入管進入該反應腔室的內部的相同製程氣體的流動。
該基材製程方法具有上面參考基材製程裝置描述的優點。一優點在於可增加生產週期並可減少停機。
本發明之各種實施例可以彼此分開實施或者可以組合。將參考附圖所示的某些實例在實施方式中進一步闡述本發明的實施例。
2:襯體
2a:襯體壁/外圓柱面
2b:襯體/內圓柱面/頂部
2c:下表面
2d:圓頂形頂部封閉件
2e:凸起部
2f:凸起部
3:凸緣
4:支撐構件
7:排氣管道
8:排氣口
12:低壓製程管/反應腔室
14:可垂直移動配置門
16:製程氣體入口
17:注入管
17a:注入管/第一注入管
17b:注入管/第二注入管
18:注入管開口
19:吹軀氣體入口
20:吹軀氣體噴嘴
22:注入管分支
23:注入管分支
26:開口
27:凸緣
28:下部
31:總成
33a:氣體入口/第一氣體入口
33b:氣體入口/第二氣體入口
34:頂端
35:氣體注入管系統
36:氣體控制系統
37:來源管
39:製程氣體閥
41:吹軀氣體源
43:吹軀氣體閥
45:控制器
55:開口
57:開口
59:開口
61:開口
63:開口
B:晶舟
F:製程氣體
H:加熱器
I:內部空間
O:中心入口孔
P:吹軀氣體
R:基座
S:周圍空間
W:基材
應理解,圖式中之元件係為簡單及清楚起見而展示且未必按比例繪製。例如,附圖中的某些元件尺寸可能相對於其他元件特別放大,以幫助瞭解本發明的示意實施例。
〔圖1〕示意說明根據一實施例之基材製程裝置的橫截面圖;〔圖2a〕示意說明根據一實施例之基材製程裝置的另一視圖;〔圖2b〕示意說明構造及配置成提供製程氣體至圖1或圖2a所述反應腔室內部之氣體〔圖3〕顯示位於根據圖1或2a的裝置反應腔室內之根據一實施例之注入管的透底視圖;及〔圖4〕顯示用於圖1、2a、2b或3的注入管。
在本申請案中,類似或相應的特徵以相似或相應的元件符號來表示。各種實施例的描述沒有受限於附圖所示的實例,而且使用在實施方式及文後申請專利範圍的參考編號並未限制結合附圖中所示實例的描述。
圖1顯示根據一實施例之基材製程裝置的橫截面圖。該基材製程裝置可包括一反應腔室及一構造及配置成固持至少一基材在該反應腔室中之基材固持器。
該反應腔室可為例如劃分一內部及一構造成加熱內部的加熱器H之低壓製程管12。一襯體2可在內部中延伸,該襯體包括由下端處的一襯體開口與高端處的一圓頂形頂部封閉件2d所界定的基本上圓柱壁。該襯體對於襯體開口上方的氣體可基本上封閉,而且劃分一成為該低壓製程管12之一部分內部的內部空間I。
一凸緣3可提供成至少部分封閉該低壓製程管12的開口。一可垂直移動配置門14可構造成封閉該凸緣3中的中心入口孔O,而且可構造成支撐一構造成固持基材W的晶舟B。該凸緣3可部分封閉該低壓製程管12的一開口端。該可垂直移動配置門14可具有一基座R。該基座R可旋轉以使內部空間中的晶舟 B旋轉。
I在圖1所示的實例中,該凸緣3包括一製程氣體入口16,用於提供一製程氣體F至內部空間I;及一排氣管道7,用於從所述內部空間移除氣體。該製程氣體入口16可具有一注入管17,其構造及配置成沿著該襯體2的基本圓柱壁朝向上端垂直延伸到內部空間I,而且包括一注入管開口18以注入氣體在內部空間I中。連接到排氣管道7供從內部空間移除氣體的排氣口8可構造及配置在該注入管開口18下方。如此,藉由對氣體關閉在襯體開口上方的襯體2,利用該注入管17通過內部空間I的上端處的注入管開口18提供氣體至內部空間,並且藉由內部空間的下端處的排氣口8從內部空間移除氣體,可建立在該襯體2的內部空間中的向下流動F。此向下流動F可將來自注入管17、基材W、晶舟B、襯體2及/或支撐凸緣3的反應副產物、顆粒的污染物向下傳輸到遠離製程基材W的排氣口8。
用於從內部空間I移除氣體的排氣口8可設置在襯體2的開口端下方。此效益是因為製程腔室的污染源可藉由襯體2和凸緣3之間的接觸形成。更具體地,所述污染源可能存在於開口端處的襯體的下端面接觸凸緣的位置處。該襯體2可利用碳化矽製成,而且凸緣可利用金屬製成,該襯體和該凸緣在熱膨脹期間可相對於彼此而移動。該襯體的下端面和該凸緣的上表面之間的摩擦可能導致污染物,例如,小的顆粒從襯體及/或凸緣脫離。顆粒可能轉移到製程腔室中並且可能污染製程腔室和待處理的基材。
藉由對氣體封閉襯體開口上方的襯體,利用在內部空間的上端處的氣體注入管將製程氣體提供至內部空間,並藉由內部空間的下端處的排氣口從內部空間移除氣體,可建立內部空間中的向下流動。此向下流動可將顆粒從襯體凸緣介面向下傳輸到遠離製程基材的排出口。
該等排氣口8可構造及配置在襯體2和低壓製程管12之間的凸緣3 中,用於從襯體2和低壓製程管12之間的周圍空間去除氣體。如此,周圍空間和內部空間I的壓力可以是相同的而且在低壓立式爐中可低於環繞低壓製程管12的環繞大氣壓力。所述立式爐可具有一壓力控制系統以從低壓立式爐的製程管內部(包括襯體的內部空間)去除氣體。
如此,該襯體2可使用相當薄且相對較弱的材料製成,因為其不必補償大氣壓力。此在選擇襯體2的材料時能有更大的自由度。該襯體2的材料之熱膨脹可經選擇,因此可相容於內部空間中沉積在基材上的材料。後者具有的優點是該襯體與亦沉積於該襯體上的材料之膨脹可以是相同的。後者降低由於該襯體2的溫度變化導致的沉積材料(薄片)剝離的風險。
所述低壓製程管12可使用相當厚且具有相對強的抗壓強度材料製成,因為其可能必須補償相對於該管內部低壓的大氣壓力。例如,該低壓製程管12可利用5至8,最好約6mm厚度石英製成。石英具有非常低的熱膨脹係數(Coefficient of Thermal Expansion,CTE)0.59×10-6K-1(參見表1),此使其更容易妥善處理裝置中的熱波動。雖然沉積材料的CTE可能較高(例如,Si3N4的CTE是3×10-6K-1,Si的CTE是2.3×10-6K-1),但差異可能相對較小。當薄膜沉積在利用石英製成的製程管上時,即使當製程管經歷許多大的熱循環時其可黏附,然而可能增加污染的風險。
該襯體2可避免在低壓製程管12的內部上的任何沉積,因此可減輕低壓製程管12上剝離的沉積風險。因此,該低壓製程管可利用石英製成,而該襯體2可利用碳化矽(SiC)製成。SiC的CTE是4×10-6K-1,而且可提供匹配沈積薄膜的CTE,導致可能需要在從襯體去除沉積薄膜之前更大的累積厚度。
CTE的不匹配導致沉積薄膜的破裂和剝落,而且相對高的顆粒計數,這是不想要而且可藉由使用一SIC襯體2來減輕。相同機構可用於注入管17;然而,對於注入管17而言,如果沉積太多具有不同熱膨脹的材料,則所述 注入管可能破裂。因此,可有利利用碳化矽或矽來製造所述注入管17。
Figure 108108224-A0305-02-0010-6
材料是否適合於襯體2及/或注入管17可取決於沉積的材料。因此,可有利使用的材料具有基本上相同於針對襯體2及/或注入管17的沉積材料的熱膨脹。因此,對於襯體2及/或注入管17,可有利使用相對較高於石英的熱膨脹之材料。例如,可使用碳化矽SiC。碳化矽襯墊可介於4至6之間,最好厚度是5mm,因為其不必補償大氣壓力。可使用該管進行壓力補償。
對於沉積CTE介於約4×10-6K-1和6×10-6K-1之間的金屬和金屬化合物材料的系統,諸如TaN、HfO2和TaO5而言,所述襯體和注入管材料的CTE最好具有介於約4×10-6K-1和9×10-6K-1之間,包括例如碳化矽。
對於沉積具有甚至較高CTE的材料而言,可選擇襯體及/或注入管材料,例如,如表2所示。
Figure 108108224-A0305-02-0011-7
該總成可具有一安裝在所述凸緣3上的吹軀氣體入口19,用於對該襯體2a和該低壓製程管12的外表面之間的周圍空間S提供吹軀氣體P。該吹軀氣體入口可包括一吹軀氣體噴嘴20,其沿著該襯體2的圓柱壁的外表面從所述凸緣3朝向該襯體的頂端垂直延伸。周圍空間S的吹軀氣體P可在排氣口8產生流動並且抵消從該排氣管7至周圍空間S之反應氣體的擴散。
該凸緣3可具有一上表面。該襯體2可由支撐構件4支撐,該支撐構件可連接到襯體壁2a的外圓柱面且每個具有向下指向的支撐面。該襯體亦可使用下表面2c直接支撐在所述凸緣3的上表面之上。
該支撐構件4的支撐面可從該襯體2的內圓柱面2b徑向向外定位。在此實例中,該支撐構件4的支撐面亦可從其連接的該襯體2的外圓柱面2a而徑向向外定位。該支撐構件4的向下指向支撐面可接觸所述凸緣3的上表面並支撐該襯體2。
封閉件的支撐凸緣3可包括排氣口8,以從該襯體2的內部空間及介於襯體2和低壓製程管12之間的環狀空間移除氣體。至少某些排氣口可提供在該襯體2的徑向外側之凸緣3的上表面中。至少某些排氣口可設置在靠近襯體開口。該排氣口8可經由排氣管道7而流體連通一泵件,用於從內部空間和介於 該低壓製程管12和襯體2之間的周圍空間抽出氣體。可能由該支撐構件4和該支撐凸緣3的上表面部分之間的摩擦產生的任何顆粒可連同氣體通過排氣口8排出。在任何情況下,釋放的顆粒將不能進入基材W周圍的製程腔室。
圖2a示意說明根據一實施例之用於基材製程裝置的總成之視圖。圖2a示意說明含有一襯體2及位於凸緣3上的注入管17a、17b之總成31。該等注入管17a、17b之每一者分別具有一用於連接氣體注入管系統之氣體入口33a、33b,以提供製程氣體至該反應腔室的內部。該襯體2是一敞開襯體,其中所述襯體在頂部2b處是敞開,其不同於圖1所示在頂部是封閉的襯體2。一用於固持基材的晶舟B可位於該襯體2內,用於支撐在該反應腔室中待處理的基材。
一吹軀氣體噴嘴20可提供從一吹軀氣體入口19吹驅在該反應腔室中的惰性氣體,諸如氮氣。該吹驅噴嘴20在頂端34處具有一開口,以使吹軀氣體向下流過該反應腔室的內部,並且通過在凸緣中的排氣口7排出。用於吹軀氣體的吹驅噴嘴20可最好是在頂部處具有一開口端且在其側壁中沒有排氣孔的管子,使得所有吹軀氣體在該反應腔室的頂部排出。吹驅注入管可省略,然後吹軀氣體可供應至該等注入管17a、17b之一者。
在其他實施例中,所述排氣口7可位於該反應腔的頂部,而且所述吹軀氣體可從該反應腔室的底部排出。
圖2b示意說明構造及配置成將製程氣體提供至圖1、2a所示反應腔室內部的氣體注入管系統35之視圖。該氣體注入管系統具有所述第一和第二注入管17a、17b及一氣體控制系統36,所述氣體控制系統構造及配置成針對相同製程氣體,控制分別經由所述第一和第二氣體入口33a、33b,從一來源管37至所述第一和第二注入管17a、17b的製程氣體的流動。
該氣體控制系統36可構造及配置成提供從該來源管至所述第一 和第二注入管之一者(例如,第一注入管17a)的製程氣體的流動,同時限制至所述第一和第二注入管之另一者(例如,第二注入管17b)的相同製程氣體的流動。該氣體控制系統36可包括一製程氣體閥39,所述製程氣體閥構造及配置成提供從該來源管37至所述第一氣體入口33a的製程氣體的流動,同時限制至在此實例中所述第二氣體入口33b的相同製程氣體的流動。
該第二注入管17b可具有來自一吹軀氣體源41經由吹軀氣體閥43與第二氣體入口33b的連續吹驅氣體流動,以確保未使用時沒有製程氣體可流入該第二注入管17b的內部而沉積其上。該製程氣體閥39與該吹軀氣體閥43可受到控制器45的控制,所述控制器可編程成控制該等製程氣體閥39、43,以提供從該來源管流至所述第一和第二注入管17a、17b之一者的製程氣體的流動,同時限制至所述第一和第二注入管17a、17b之另一者的相同製程氣體的流動。
從所述第一注入管至所述第二注入管17a、17b的製程氣體的流動可藉由在該控制器45的控制下切換該製程氣體閥39與該吹軀氣體閥43兩者進行切換,例如,在一預定時段之後或如果製程氣體的流動變得低於一某臨界值。該控制器45可具有一用於在預定時段之後切換的計時器。然後,製程氣體的流動將從該來源管37引導到所述第二氣體入口33b,同時使用該製程氣體閥39限制相同製程氣體流到所述第一氣體入口33a。或者,所述第一注入管17a可具有來自一吹軀氣體源41,經由吹軀氣體閥43與第一氣體入口33a之連續吹驅氣體流動。
從所述第一注入管至所述第二注入管的製程氣體的流動可來回切換多次。所述注入管系統中的注入管數量可增加到三、四或甚至五個,以進一步增加生產週期。
該氣體控制系統可具有一用於測量製程氣體的流動之氣體流動測量器件,而且該氣體控制系統可構造及/或編程成如果製程氣體的流動變得低 於一某臨界值,則切從所述第一注入管至所述第二注入管的製程氣體的流動。如果來自該注入管的薄片顆粒計數變得高於一顆粒計數臨界值,則可切換從所述第一注入管至所述第二注入管的製程氣體的流動。
如果該反應腔室中的基材W上沉積的均勻性惡化;或者例如,如果在基材W的表面上計數的顆粒數量越來越多,則可切換從所述第一注入管至所述第二注入管的製程氣體的流動。基材可提供至所述裝置外部或內部的測量系統,以測量基材上的顆粒均勻性或數量。
如果所述第一和第二注入管被堵塞,則兩者可替換成新的第一和第二注入管。例如,如果製程氣體通過所述第一和第二注入管的流動變得低於一第二臨界值。
圖3顯示根據圖1或2a之根據位於所述裝置的反應腔室12內之一實施例的注入管之透底視圖。僅有一第一注入管17顯示具有兩注入管分支22、23。另外,第二注入管可位於該襯體2內。
該注入管2亦可具有三或四個分支。該等注入管之一或多者可為多孔氣體注入管。最優選是,使用多孔氣體注入管可改善該反應腔室12中的氣體分佈均勻性,藉以改善沉積結果的均勻性。
該注入管17可具有開口26的圖案,所述圖案基本上在晶圓加載上延伸。根據本發明,該等開口的總橫截面相對較大,例如,介於100和600之間,最好介於200和400mm2之間。而且,可用於源氣體傳導的所述注入管17的內橫截面可介於100和600之間,最好介於200和500mm2之間或更大。該注入管17的內橫截面可為螺旋狀。
所述開口直徑可介於1至15mm之間,最好介於3至12mm之間,更好介於4至10mm之間。該開口的面積可介於1至200mm2之間,最好介於7至100mm2之間,更好介於13至80mm2之間。較大開口可具有由於該等開口內的 沉積層,使開口堵塞需要更長時間的優點。
在圖3所示的實例中,所述注入管整體可包括40個開口。對於3mm的直徑而言,該等開口的總橫截面可為40 x 3 x 3 x π/4=282mm2。該注入管的每個分支的橫截面是約11 x 30=330mm2。其他注入管可具有20個開口,其直徑是4mm,總面積是251mm2。其他注入管可具有5個開口,其直徑是8mm,總面積也是251mm2
在每個注入管分支22、23,該等開口可成對設置在相同高度處,所述兩開口可在約90度的角度下沿著兩方向注入氣體,以改善徑向均勻性。
該等開口可以垂直和水平隔開的關係定位在所述注入管上。一注入管分支上的開口圖案可垂直延伸,在分支的較高部分處具有較高密集的開口,以補償較高部分的減少氣體流動。所述注入管分支可為注入管管,每個注入管管的其進給端連接到一分開的氣體供應管道。所述注入管管可經由一分開的氣體供應管道連接到一分開的氣體源,以分開注入兩或多個源氣體。一注入管分支上的開口圖案可僅在晶舟的一部分上垂直延伸。該注入管17可調適在襯體2的凸起部2e中。
該總成可具有一溫度測量系統,所述溫度測量系統安裝在凸緣上而且沿著該襯體2的圓柱壁的內或外表面延伸向該襯體的頂端以測量溫度。該溫度測量系統可包括具有一長臂(Beam),所述長臂具有沿著該長臂的長度設置的複數個溫度感測器,以沿著該襯體測量不同高度處的溫度。
一第二凸起部2f可設置在襯體2中,如果沿著該襯體的內表面配置,則調適具有用於測量內部空間內之溫度的複數個溫度感測器之長臂。如圖所示,凸起部是向外延伸,以調適在襯體內部上的溫度測量系統,然而該凸起部亦可向內延伸,以調適在該襯體外部上的溫度測量系統。藉由分別調適在凸 起部2e、2f中的注入管和溫度系統,內部空間可保持基本上圓柱對稱,此有利於沉積製程的均勻性。該反應腔室12可設置在具有一加寬凸緣27的底端。
圖4顯示一用於圖1、2a或3所示基材製程裝置的注入管17。五個注入管開口18設置在注入管17中,從頂部向下編號為55、57、59、61、63。相較於在該注入管17的下端處的距離,靠近於該注入管17的頂部之開口間的距離可減小,以補償該注入管頂部處的減少壓力。所述第一和第二開口55、57之間的距離可介於45和49mm之間,最好是47mm;在開口57、59之間的距離可介於50和56mm之間,最好是53mm;在開口59、61之間的距離可介於55和59mm之間,最好是57mm;及在開口61和63之間的距離可介於70和100mm之間,最好是81mm,以補償壓力降低。
該等開口的總橫截面可相對較大,使得該注入管內的壓力保持在一相對低值。該等開口18的直徑可介於4和15mm之間。例如,該等開口可具有8mm直徑。在所述注入管的該等開口內的沉積可能導致注入管開口的堵塞。藉由具有較大開口,例如4至15mm,最好是8mm,該等注入管開口堵塞需要較長時間,此增加注入管的壽命。
該注入管內部的氣體傳導通道的水平內橫截面可具有一橢圓形,該橢圓形在沿著與基本圓柱形襯體的圓周之相切方向上具有一尺寸,其係大於在徑向上的一尺寸。該注入管17的下部28可具有較小的橫截面則因此有較高壓力。通常,此可能導致額外的沉積,但由於此部分的溫度可能較低,因此沉積速率仍然可接受。
該注入管17的開口18可構造成減少該等開口的堵塞。該等開口從內向至外部可具有凹狀。該注入管內部表面上之開口的表面積大於所述注入管外部上之開口18的表面積之凹狀可減少堵塞。內部的較大區域允許在壓力和因此沉積較大的內側處有更大沉積。在外部,壓力降低,因此沉積也較慢,而 且較小的區域可在內部上收集相同於較大直徑的沉積。
使用注入管降低壓力可能導致該注入管17內的反應速率降低,因為所述反應速率通常隨增加的壓力而增加。該注入管內低壓的另一優點在於通過該注入管的氣體量在低壓下膨脹,而且對於源氣體的恆定流動而言,該注入管內的所述源氣體的停留時間相對減少。由於兩者的組合,可減少源氣體的分解,藉此亦可減少該注入管內的沉積。
該注入管內的沉積可能在溫度變化時使該注入管的拉伸強度導致該注入管破裂。因此,所述注入管內的較少沉積延長該注入管17的壽命。該注入管可利用具有使用製程氣體所沉積材料的熱膨脹係數之材料製成。例如,如果沉積氮化矽,則該注入管可利用氮化矽製成;或者,如果藉由製程氣體沉積矽,則該注入管可利用矽製成。因此,該注入管內的沉積層的熱膨脹可匹配該注入管的熱膨脹,從而降低該氣體注入管在溫度變化期間可能破裂的可能性。碳化矽可為用於所述注入管17的適當材料,因為碳化矽具有可匹配許多沉積材料的熱膨脹。
該注入管內部的低壓缺點在於該注入管的傳導明顯減小。這將導致在該注入管長度的開口圖案上的源氣體流動不良分佈:大部分源氣體將流出靠近該注入管入口端的孔。為了促進該注入管內的源氣體沿著所述注入管的長度方向流動,該注入管可具有一大內橫截面。為了在該反應空間內能夠調適根據本發明的注入管,該注入管的切向尺寸可較大於徑向尺寸,而且劃分所述反應空間的襯體可具有向外延伸的凸起部以調適該注入管。
在較佳實施例中,提供二元薄膜(Binary film)的兩構成元素之兩源氣體是先在所述氣體供應系統中混合,然後進入該注入管。這是確保在晶舟的長度上的所注入氣體均勻成分的最簡單方法。然而,這不是必要的。或者,可經由分開的注入管注入兩不同源氣體,並且在注入反應空間之後混合。
使用兩注入管分支允許某些調整可能性。基本上,當相同成分的氣體經由分開的源氣體供應而供應至該注入管的兩部件時,可不同選擇供應至不同注入管分支的流動,以微調晶舟沉積速率的均勻性。其亦可供應不同成分的氣體至該注入管的兩管線,以微調晶舟上的二元薄膜成分。然而,當兩注入管管線的注入氣體的成分相同時,則可實現最佳結果。
雖然前面已描述特定實施例,但是將明白,本發明可不同於所述方式實施。上面敘述意欲用於說明而非用於限制。因此,熟習該項技藝者將明白,在不悖離文後申請專利範圍的情況下,可修改如前所述的本發明。各種實施例可組合應用或可彼此獨立實施。
2:襯體
2a:襯體壁/外圓柱面
2b:襯體/內圓柱面/頂部
2c:下表面
2d:圓頂形頂部封閉件
3:凸緣
4:支撐構件
7:排氣管道
8:排氣口
12:低壓製程管/反應腔室
14:可垂直移動配置門
16:製程氣體入口
17:注入管
18:注入管開口
19:吹軀氣體入口
20:吹軀氣體噴嘴
B:晶舟
F:製程氣體
H:加熱器
I:內部空間
O:中心入口孔
P:吹軀氣體
R:基座
S:周圍空間
W:基材

Claims (19)

  1. 一種基材製程裝置,其包括:一反應腔室;一基材固持件,其構造及配置成使至少一基材固持在該反應腔室中;及一氣體注入管系統,其構造及配置成提供製程氣體至該反應腔室的內部,而且具有一構造及配置成控制來自一來源管的製程氣體的流動之氣體控制系統;其中該氣體注入管系統包括一用於相同製程氣體的第一和第二注入管,而且該氣體控制系統構造、配置及/或編程成提供從來源管至該第一和第二注入管之一者的製程氣體的流動,同時限制至該第一和第二注入管之另一者的相同製程氣體的流動,其中該裝置包括一襯體,該襯體構造及配置成沿著該反應腔的壁部而在該反應腔室的內部延伸。
  2. 如請求項1所述之基材製程裝置,其中該氣體控制系統構造、配置及/或編程成將製程氣體的流動從該第一和第二注入管之一者切換到該第一和第二注入管之另一者。
  3. 如請求項2所述之基材製程裝置,其中該氣體控制系統構造、配置及/或編程成將製程氣體的流動從該第一和第二注入管之一者切換到該第一和第二注入管之另一者之後,限制從該來源管至該第一和第二注入管之一者的製程氣體的流動。
  4. 如請求項2所述之基材製程裝置,其中該氣體控制系統具有一計時器,且其構造及/或編程成在一預定時段之後切換。
  5. 如請求項2所述之基材製程裝置,其中該氣體控制系統具有一氣體流動測量器件,以測量製程氣體的流動,而且該氣體控制系統構造及/或編程成如果製程氣體的流動變成低於一某臨界值則切換。
  6. 如請求項1所述之基材製程裝置,其中該襯體包括一基本圓柱壁,該基本圓柱壁是由下端處的一襯體開口與上端處的一頂部封閉件所劃分,該襯體對於襯體開口上方的氣體為基本上封閉。
  7. 如請求項6所述之基材製程裝置,其中該第一和第二注入管是沿著該襯體的基本圓柱壁朝向較高端構造及配置成。
  8. 如請求項1所述之基材製程裝置,其中該第一和第二注入管為細長的而且具有開口圖案。
  9. 如請求項8所述之基材製程裝置,其中該注入管內部的一氣體傳導通道的內部橫截面面積是介於100和1500mm2之間。
  10. 如請求項9所述之基材製程裝置,其中該注入管內部的該氣體傳導通道的內部橫截面具有一形狀,該形狀在與該基本圓柱形的反應室的圓周相切的方向中具有一尺寸,其係大於在徑向上的一尺寸。
  11. 如請求項8所述之基材製程裝置,其中至少一開口的面積係介於1至200mm2之間。
  12. 如請求項8所述之基材製程裝置,其中當從該注入管的下端至頂端時,該等開口之間的距離減小。
  13. 如請求項8所述之基材製程裝置,其中該等開口構造成使得氣體沿至少兩不同方向注入。
  14. 一種基材製程方法,其包括:提供請求項1之基材製程裝置;在該反應腔室中的該基材固持件上提供至少一個基材;使用該第一注入管提供從一來源管至該反應腔室的內部的製程氣體的流動;及限制從該來源管至該第二注入管進入該反應腔室的內部的相同製程氣體的 流動。
  15. 如請求項14所述之基材製程方法,其中該方法包括切換從該第一注入管至該第二注入管的製程氣體的流動。
  16. 如請求項15所述之基材製程方法,其中該方法包括切換從該第一注入管至該第二注入管的製程氣體的流動之後,限制從該來源管至該第一注入管的製程氣體的流動。
  17. 如請求項15所述之基材製程方法,其中該方法包括在一預定時段之後,切換從該第一注入管至該第二注入管的製程氣體的流動。
  18. 如請求項15所述之基材製程方法,其中該方法包括如果製程氣體的流動變得低於一某臨界值、檢測到顆粒或晶圓的沉積均勻性不良,切換從該第一注入管至該第二注入管的製程氣體的流動。
  19. 如請求項16所述之基材製程方法,其中該方法包括替換該第一和第二注入管成新的第一和第二注入管。
TW108108224A 2018-04-30 2019-03-12 基材製程裝置及方法 TWI806986B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/967,146 2018-04-30
US15/967,146 US20190330740A1 (en) 2018-04-30 2018-04-30 Substrate processing apparatus and method

Publications (2)

Publication Number Publication Date
TW201945580A TW201945580A (zh) 2019-12-01
TWI806986B true TWI806986B (zh) 2023-07-01

Family

ID=68292108

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108108224A TWI806986B (zh) 2018-04-30 2019-03-12 基材製程裝置及方法
TW112120339A TW202338149A (zh) 2018-04-30 2019-03-12 基材製程裝置及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW112120339A TW202338149A (zh) 2018-04-30 2019-03-12 基材製程裝置及方法

Country Status (5)

Country Link
US (1) US20190330740A1 (zh)
JP (1) JP2019203191A (zh)
KR (1) KR20190125939A (zh)
CN (1) CN110416050A (zh)
TW (2) TWI806986B (zh)

Families Citing this family (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210129598A (ko) * 2020-04-17 2021-10-28 에이에스엠 아이피 홀딩 비.브이. 수직형 퍼니스의 반응기 내에 배열되도록 구성된 인젝터와 수직형 퍼니스
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202229795A (zh) * 2020-11-23 2022-08-01 荷蘭商Asm Ip私人控股有限公司 具注入器之基板處理設備
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP1700778S (zh) * 2021-03-15 2021-11-29
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP2023008985A (ja) * 2021-07-06 2023-01-19 エーエスエム・アイピー・ホールディング・ベー・フェー 抽出器チャンバを備える複数の基材を処理するための装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200741823A (en) * 2006-03-20 2007-11-01 Hitachi Int Electric Inc Semiconductor device manufacturing method and substrate processing apparatus
TW201247930A (en) * 2011-05-10 2012-12-01 Tokyo Electron Ltd Gas supply apparatus, thermal treatment apparatus, gas supply method, and thermal treatment method

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138509A (en) * 1977-12-23 1979-02-06 Motorola, Inc. Silicon purification process
JP2002043229A (ja) * 2000-07-25 2002-02-08 Hitachi Kokusai Electric Inc 半導体製造装置
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
CN1868042A (zh) * 2003-11-20 2006-11-22 株式会社日立国际电气 半导体器件的制造方法和衬底处理装置
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
US20100262382A1 (en) * 2009-04-13 2010-10-14 Sable Systems International, Inc. Method and apparatus for continuous measurement of differences in gas concentrations
JP6008533B2 (ja) * 2012-03-28 2016-10-19 株式会社日立国際電気 基板処理装置、及び、半導体装置の製造方法
JP2014067783A (ja) * 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び基板処理方法
KR101677560B1 (ko) * 2014-03-18 2016-11-18 주식회사 유진테크 공정공간 높이별 가열온도를 조절할 수 있는 히터를 구비한 기판 처리 장치
JP6460874B2 (ja) * 2015-03-26 2019-01-30 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200741823A (en) * 2006-03-20 2007-11-01 Hitachi Int Electric Inc Semiconductor device manufacturing method and substrate processing apparatus
TW201247930A (en) * 2011-05-10 2012-12-01 Tokyo Electron Ltd Gas supply apparatus, thermal treatment apparatus, gas supply method, and thermal treatment method

Also Published As

Publication number Publication date
JP2019203191A (ja) 2019-11-28
KR20190125939A (ko) 2019-11-07
TW201945580A (zh) 2019-12-01
TW202338149A (zh) 2023-10-01
CN110416050A (zh) 2019-11-05
US20190330740A1 (en) 2019-10-31

Similar Documents

Publication Publication Date Title
TWI806986B (zh) 基材製程裝置及方法
TWI770228B (zh) 用於立式爐之襯套與凸緣的組合件以及襯套及立式爐
US10570508B2 (en) Film forming apparatus, film forming method and heat insulating member
TW202140846A (zh) 注入器、及垂直熔爐
TWI524371B (zh) 具有擴散板和注入器組件的批次處理腔
KR20010009968A (ko) 반도체 박막 증착 장치
TWI736687B (zh) 處理裝置及蓋構件
JP2012533876A (ja) 半導体製造装置
JP2009503875A (ja) ガスマニホルドバルブクラスタ
TW201408813A (zh) 基板處理裝置
US20230207335A1 (en) Substrate processing apparatus, method of processing substrate, method of manufacturing semiconductor device, and recording medium
US6194030B1 (en) Chemical vapor deposition velocity control apparatus
KR101464202B1 (ko) 기판 처리 장치
TW202235675A (zh) 注入器、及基板處理設備
TW202229795A (zh) 具注入器之基板處理設備
KR101374300B1 (ko) 배기부재와 이를 이용한 기판 처리 장치 및 방법
TW202336270A (zh) 具有背側泵送的熱處理腔室蓋
JP2012253134A (ja) 基板処理装置
KR20070077669A (ko) 가스 분산판을 포함하는 반도체 제조장치
KR20120051416A (ko) 화학기상증착장치