JP2019203191A - 基材処理装置および方法 - Google Patents

基材処理装置および方法 Download PDF

Info

Publication number
JP2019203191A
JP2019203191A JP2019079777A JP2019079777A JP2019203191A JP 2019203191 A JP2019203191 A JP 2019203191A JP 2019079777 A JP2019079777 A JP 2019079777A JP 2019079777 A JP2019079777 A JP 2019079777A JP 2019203191 A JP2019203191 A JP 2019203191A
Authority
JP
Japan
Prior art keywords
injector
gas
substrate processing
process gas
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019079777A
Other languages
English (en)
Inventor
アリエン・クラーフェル
Klaver Arjen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2019203191A publication Critical patent/JP2019203191A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Abstract

【課題】生産量の増加をもたらす改善された基材処理装置および方法の提供。【解決手段】反応チャンバーと、前記反応チャンバー内に少なくとも一つの基材を保持するように構築および配置される基材ホルダーとを有し、第一および第二のインジェクターは、プロセスガスを供給管から反応チャンバーの内部へ供給し、ガス制御システムは、供給管から第一のインジェクターへのプロセスガスの流れを供給し、供給管から第二のインジェクターへの同じプロセスガスの流れを制限する基材処理装置。【選択図】図1

Description

本発明は基材処理装置および方法に関する。特に、本発明は、反応チャンバーと、前記反応チャンバー内に少なくとも一つの基材を保持するように構築および配置される基材ホルダーとを有する基材処理装置に関する。ガスインジェクターシステムは、ガス制御システムの制御下で供給管から反応チャンバーの内部にプロセスガスを供給することができる。
基材、例えば半導体ウェーハを処理するための基材処理装置は、反応チャンバーとして機能するベルジャー形のプロセスチューブの周りに配置された加熱手段を備えることができる。プロセスチューブの上端は、例えばドーム形構造によって閉じられていてもよいが、プロセスチューブの下端面は開いていてもよい。下端部はフランジによって部分的に閉じられてもよい。チューブとフランジとによって囲まれる反応チャンバーの内部は、処理されるウェーハが処理され得る反応チャンバーを形成する。フランジは、ウェーハを搬送するウェーハボートを内部に挿入するための入口開口部を備えることができる。ウェーハボートは、垂直方向に移動可能に配置され、フランジの入口開口部を閉鎖するように構成されるドア上に配置されることができる。
装置は、反応チャンバーの内部と流体連通するガスインジェクターシステムを更に備えることができる。インジェクターシステムは、インジェクター内に少なくとも一つの開口部を有するインジェクターを備えることができる。インジェクターを通して、プロセスガスを少なくとも一つの開口部を経由して内部に流して基材と反応させることができる。
内部と流体連通するガス排気口を設けることができる。ガス排気口を、反応チャンバーの内部からガスを排気するための真空ポンプに接続することができる。この構成は、インジェクターから反応チャンバーを通ってガス排気口へガスを流すことができる。ガスの流れを、基材上での堆積反応のための反応(プロセス)ガスとすることができる。この反応ガスはまた、反応チャンバーの内部の基材以外の他の表面にも堆積する可能性がある。
インジェクターシステムのインジェクター内での堆積は、インジェクターまたはインジェクター内の少なくとも一つの開口部の目詰まりを引き起こす可能性があり、これはインジェクターシステムの動作にとって有害となる可能性がある。インジェクター内への更なる堆積は、反応チャンバーの加熱および/または冷却中にフレークを落下させる可能性があり、それにより基材を汚染させる可能性がある。装置のメンテナンス中にインジェクターを新しいきれいなインジェクターと交換することによって、これらの問題を軽減することができる。インジェクターを新しいきれいなインジェクターと交換するためには、反応チャンバーを開かなければならず、これは煩雑な手順であり、装置の停止時間および製造の中断を招く。
したがって、生産量の増加をもたらす改善された基材処理装置および方法が必要とされる可能性がある。
したがって、反応チャンバーと、前記反応チャンバー内に少なくとも一つの基材を保持するように構築および配置される基材ホルダーとを備える基材処理装置を提供することができる。装置は、反応チャンバーの内部にプロセスガスを供給するように構築および配置されるガスインジェクターシステムを備えることができる。ガスインジェクターシステムは、供給管からのプロセスガスの流れを制御するように構築および配置されるガス制御システムを備えることができる。ガスインジェクターシステムは、同じプロセスガスを反応チャンバーに供給するための第一および第二のインジェクターを備えることができる。ガス制御システムを、供給管から第一および第二のインジェクターのうちの一つにプロセスガスの流れを供給し、第一および第二のインジェクターのうちのもう一つに同じプロセスガスの流れを制限するように構築および/またはプログラムすることができる。
第一および第二のインジェクターのうちの一つを最初はきれいに保つために、第一および第二のインジェクターのうちの前記一つを使用し、第一および第二のインジェクターのうちのもう一つを通るプロセスガスの流れを制限することによって、生産期間を延ばすことができる。前記一つのインジェクター内での堆積はインジェクターを劣化させる可能性があり、それを軽減するためにきれいなもう一つのインジェクターをしばらくしてから使用することができる。次に、前記一つの第一のインジェクターを通るプロセスガスの流れを制限し、堆積のためにもう一つのインジェクターを使用することができる。
第一と第二のインジェクターとの間でプロセスガスを切り替えることは、堆積が一つのインジェクター内のみで堆積する状況と比較して、第一および第二のインジェクター内に堆積が蓄積するのにより長い時間がかかるので、より長い生産サイクルをもたらすことができる。第一のインジェクターが劣化した場合に、および/またはちょうど周期的に、プロセスガスの流れを第一のインジェクターから第二のインジェクターに切り替えるように、ガス制御システムを構築および/またはプログラムすることができる。第一と第二のインジェクターとの間の切り替えを、交互に一回または複数回行ってもよい。
第一および第二のインジェクターの両方が劣化した場合にのみ、第一および第二のインジェクターの交換が必要な場合があり、反応チャンバーが開かれることがある。二つのインジェクターを使用することで、生産期間を延長することができ、生産性の向上につながる。更に生産性を高めるために、インジェクターシステム内のインジェクターの数を三個、四個、または更には五個に増やすことができることが理解されなければならない。
一実施形態では、
反応チャンバー内の基材ホルダー上に基材を供給することと、
プロセスガスの流れを第一のガスインジェクターを用いて供給管から反応チャンバーの内部に供給することと、
供給管から第二のインジェクターへの同じプロセスガスの反応チャンバー内部への流れを制限すること、を含む基材処理方法が提供される。
基材処理方法は、基材処理装置に関して上記の利点を有する。利点は、生産期間が長くなり、停止時間が短くなることである。
本発明の様々な実施形態を、互いに別々に適用してもよく、または組み合わせてもよい。本発明の実施形態は、図面に示されるいくつかの例を参照して、詳細な説明において更に明らかにされるであろう。
当然のことながら、図内の要素は、単純かつ明瞭にするために例示されており、必ずしも縮尺通りに描かれていない。例えば、図内の要素のうちいくつかの寸法は、本開示の例示された実施形態の理解の向上を助けるために他の要素に対して相対的に誇張されている場合がある。
一実施形態による基材処理装置の断面図である。 一実施形態による基材処理装置の他の図である。 図1または図2aの反応チャンバーの内部にプロセスガスを供給するように構築および配置されるガスインジェクターシステムの図である。 図1または図2aによる装置の反応チャンバー内に位置する実施形態によるインジェクターの底面斜視図である。 図1、2a、2bまたは3で使用するためのインジェクターである。
本出願において、類似のまたは対応する形体は、類似のまたは対応する参照符号によって示される。様々な実施形態の説明は、図に示される例に限定されず、発明を実施するための形態および特許請求の範囲で使用される参照番号は、図に示される例に関連して記述されるものを限定することを意図していない。
図1は、一実施形態による基材処理装置の断面図を示す。装置は、反応チャンバーと、前記反応チャンバー内に少なくとも一つの基材を保持するように構築および配置される基材ホルダーと、を備えることができる。
反応チャンバーは、例えば、内部を画定する低圧プロセスチューブ12と、内部を加熱するように構成されるヒーターHとすることができる。ライナー2は、内部に延在してもよく、ライナーは、下端部のライナー開口部と、上端部のドーム形状上部封止部2dとにより画定される、実質的に円筒形の壁を備える。ライナーは、ライナー開口部の上方のガスのために実質的に閉じられていてもよく、チューブ12の内部の一部である内部空間Iを画定している。
低圧プロセスチューブ12の開口部を少なくとも部分的に閉じるためにフランジ3を設けることができる。垂直方向に移動可能に配置されるドア14は、フランジ3の中央の入口開口Oを閉鎖するように構成され、基材Wを保持するように構成されるウェーハボートBを支持するように構成されることができる。フランジ3は、プロセスチューブ12の開口端を部分的に閉じていてもよい。ドア14に台座Rを設けることができる。台座Rを回転させて内部空間のウェーハボートBを回転させることができる。
図1に示す例では、フランジ3は、プロセスガスFを内部空間Iに供給するためのプロセスガス入口16と、ガスを内部空間から除去するためのガス排気ダクト7とを備える。プロセスガス入口16には、ライナー2の実質的に円筒形の壁に沿って内部空間I内に垂直に上端部に向かって延在するように構築および配置され、内部空間Iにガスを注入するためのインジェクター開口部18を備えるインジェクター17を設けることができる。内部空間からガスを除去するためのガス排気ダクト7に接続するガス排気開口部8を、インジェクター開口部18の下に構築し、配置することができる。このように、ガス用のライナー開口部の上方でライナー2を閉じ、インジェクター17を用いて内部空間Iの上端部のインジェクター開口部18を通して内部空間にガスを供給し、および、内部空間の下端部のガス排気開口部8により内部空間からガスを除去することにより、ライナー2の内部空間に下降流Fを形成することができる。この下降流Fは、反応副生成物、インジェクター17からの粒子、基材W、ボートB、ライナー2および/または支持フランジ3の汚染を、処理された基材Wから離れて排気開口部8に向かって下方に搬送することがある。
ライナー2の開口端の下方に、内部空間Iからガスを除去するためのガス排気開口部8を設けてもよい。これは、プロセスチャンバーの汚染源が、ライナー2とフランジ3との間の接触によって形成され得るので、有益であることができる。より具体的には、開放端でのライナーの下端面がフランジと接触する位置に汚染源が存在し得る。ライナー2は炭化ケイ素から作られ、フランジは金属から作られることができ、ライナーとフランジは熱膨張中に互いに対して動くことができる。ライナーの下端面とフランジの上面との間の摩擦は、汚染物質、例えばライナーおよび/またはフランジから剥離する微細粒子をもたらす可能性がある。粒子はプロセスチャンバー内に移動する可能性があり、プロセスチャンバーおよび処理される基材を汚染する可能性がある。
ガス用のライナー開口部の上方でライナーを閉じ、内部空間の上端部でガスインジェクターを用いて内部空間にプロセスガスを供給し、内部空間の下端部でガス排気によって内部空間からガスを除去することにより、内部空間に下降流を形成することができる。この下降流は、ライナー−フランジ界面からの粒子を、処理された基材から離れる方向で下方に、排気口へ輸送することができる。
ガス排気開口部8は、ライナー2とチューブ12との間の周方向空間からガスを除去するために、ライナー2とチューブ12との間のフランジ3内に構築され配置されてもよい。このようにして、周方向空間と内部空間Iの圧力を等しくすることができ、低圧垂直炉内ではチューブ12を囲む周囲の大気圧より低くすることができる。縦型炉は、低圧縦型炉のチューブの内部(ライナーの内部空間を含む)からガスを除去するための圧力制御システムを備えることができる。
このように、ライナー2は、大気圧を補償する必要がないので、かなり薄くて比較的弱い材料で作ることができる。これにより、ライナー2の材料を選択する際の自由度が大きくなる。ライナー2の材料の熱膨張は、それが内部空間内で基材上に堆積される材料と同程度になるように選択されることができる。後者は、ライナーの膨張とライナー上にも堆積される材料とが同じであることができるという利点を有する。後者は、ライナー2の温度変化の結果として堆積した材料(フレーク)が脱落するリスクを最小にする。
チューブ12は、チューブの内側の低圧に対して大気圧を補償しなければならない場合があるので、かなり厚く、比較的強い圧縮強度の材料で作ることができる。例えば、低圧プロセスチューブ12は5〜8、好ましくは約6mmの厚さの石英で作ることができる。石英は、0.59×10−6K−1の非常に低い熱膨張係数(CTE)(表1参照)を有し、それにより装置内の熱変動に容易に対応することができる。堆積する材料のCTEはより高い場合がある(例えば、SiのCTEは3×10−6−1であり、SiのCTEは2.3×10−6−1である)が、その差は比較的小さい可能性がある。石英製のチューブにフィルムを堆積させると、チューブが多数の大きな熱サイクルを受けても付着する可能性があるが、汚染のリスクが高まる可能性がある。
ライナー2は、チューブ12の内側へのいかなる堆積を回避することができ、したがって、チューブ12への堆積が脱落するリスクを軽減することができる。したがって、チューブは石英から作られてもよく、ライナー2は炭化ケイ素(SiC)から作られてもよい。SiCのCTEは4×10−6−1であり、CTEが堆積膜と一致する可能性があり、その結果、ライナーからの堆積膜の除去が必要となる前に累積厚さがより大きくなる可能性がある。
CTEの不一致は、堆積膜のクラックおよび剥がれ落ち、ならびに対応して高い粒子数をもたらし、これは望ましくなく、SICライナー2を使用することによって軽減され得る。同じ機構がインジェクター17について作用し得る。しかし、インジェクター17の場合、異なる熱膨張を有するあまりに多くの材料が堆積すると、インジェクターが破損し得る可能性がある。したがって、炭化ケイ素またはケイ素からインジェクター17を製造することが有利であり得る。
Figure 2019203191
材料がライナー2に、およびまたはインジェクター17に好適であるか否かは、堆積される材料に依存し得る。したがって、ライナー2および/またはインジェクター17のように、堆積材料に対して実質的に同一の熱膨張を有する材料を使用することができることが有利である。したがって、ライナー2および/またはインジェクター17に石英よりも比較的高い熱膨張を有する材料を使用できることが有利であることができる。例えば、炭化ケイ素のSICを使用することができる。炭化ケイ素ライナーは、大気圧を補償する必要がないため、4〜6、好ましくは5mmの厚さとすることができる。圧力補償はチューブで行うことができる。
約4×10−6−1〜6×10−6−1のCTEを有する金属および金属化合物材料、例えばTaN、HfO、およびTaOを堆積させるシステムでは、例えば炭化ケイ素を含むライナーおよびインジェクター材料は、好ましくは約4×10−6−1〜9×10−6−1のCTEを有し得る。
更に高いCTEを有する材料の堆積のために、例えば表2に示されるライナー材料および/またはインジェクター材料を選択することができる。
Figure 2019203191
アセンブリには、ライナー2bの外面とプロセスチューブ12との間の周方向空間SにパージガスPを供給するためにフランジ3上に取り付けられたパージガス入口19を設けることができる。パージガス入口は、フランジ3からライナーの上端部に向かってライナー2の円筒状壁の外面に沿って垂直に延在するパージガスノズル20を備えることができる。周方向空間SへのパージガスPは、ガス排気口8内に流れを作り、排気チューブ7から周方向空間Sへの反応ガスの拡散を妨げることができる。
フランジ3は上面を有してもよい。ライナー2は、ライナー壁2aの外側円筒面に接続され得る支持部材4によって支持されることができる、それぞれ下向きの支持面を有する。ライナーはまた、フランジ3の上面においてライナーの下面2cで直接支持されてもよい。
支持部材4の支持面は、ライナー2の内側円筒面2bから径方向外側に配置されてもよい。この例では、支持部材4の支持面はまた、それらが取り付けられているライナー2の外側円筒面2aから径方向外側に配置されてもよい。支持部材4の下方に向く支持面は、フランジ3の上面と接触してライナー2を支持することができる。
封止部の支持フランジ3は、ライナー2の内部空間およびライナー2と低圧チューブ12との間の環状空間からガスを除去するためのガス排気開口部8を備えることができる。ガス排気開口部の少なくともいくつかを、ライナー2の径方向外側のフランジ3の上面に設けることができる。ガス排出開口部の少なくともいくつかを、ライナー開口部の近くに設けてもよい。ガス排気開口部8は、内部空間およびプロセスチューブ12とライナー2との間の周方向空間からガスを引き出すために、排気ダクト7を介してポンプと流体連通することができる。支持部材4と支持フランジ3の上面部分との間の摩擦によって作り出され得るいかなる粒子も、ガス排気開口部8を通ってガスと共に排出されることができる。いずれの場合でも、放出された粒子は基材Wの周りのプロセスチャンバーに入ることができない。
図2aは、一実施形態による基材処理装置で使用するためのアセンブリの図を示す。図2aは、ライナー2と、フランジ3上に配置されたインジェクター17aおよび17bとを含むアセンブリ31を示す。インジェクター17aおよび17bはそれぞれ、ガスインジェクターシステムに接続してプロセスガスを反応チャンバーの内部に供給するためのガス入口33aおよび33bをそれぞれ有する。ライナー2はライナーが上端2bで開放され、上端で閉鎖されている図1のライナー2とは異なることを意味する開放ライナーである。反応チャンバー内で処理される基材を支持するために、基材を保持するためのボートBがライナー2内に配置されてもよい。
パージガス入口19から反応チャンバー内の不活性ガス、例えば窒素ガスをパージするためにパージガスノズル20を設けてもよい。パージノズル20は、パージガスが反応チャンバーの内部を通って下方に流れ、フランジ内の排気口7を通って出ることができるように、上端部34に開口部を有する。パージガス用のパージノズル20は、上端に開放端を有し、かつその側壁にガス放出孔がないチューブであることが好ましく、それにより全てのパージガスが反応チャンバーの上端で排出されることができる。パージインジェクターを省略して、パージガスをインジェクター17a、17bの一方に供給してもよい。
別の実施形態では、排気口7は反応チャンバーの上端にあってもよく、パージガスは反応チャンバーの底部で排出されることができる。
図2bは、図1、図2aの反応チャンバーの内部にプロセスガスを供給するように構築および配置されるガスインジェクターシステム35の図を例示する。ガスインジェクターシステムは、第一および第二のインジェクター17a、17bと、供給管37から第一および第二のインジェクター17a、17bへ、それぞれ同じプロセスガス用の第一および第二のガス入口33a、33bを経由して、プロセスガスの流れを制御するように構築および配置されるガス制御システム36と、を備える。
ガス制御システム36は、供給管から第一および第二のインジェクターのうちの一つ(例えば、第一のインジェクター17a)にプロセスガスの流れを供給し、第一および第二のインジェクターのうちのもう一つ(例えば、第二のインジェクター17b)への同じプロセスガスの流れを制限するように構築および配置されることができる。この例では、ガス制御システム36は、供給管37から第一のガス入口33aへのプロセスガスの流れを供給し、第二のガス入口33bへの同じプロセスガスの流れを制限するように構築および配置されるプロセスガスバルブ39を備えることができる。
第二のインジェクター17bには、パージガス源41からパージガスバルブ43および第二のガス入口33bを経由して連続的にパージガス流が供給され、使用されていない間はプロセスガスが第二のインジェクター17bの内部に流入せずインジェクターに堆積しないことを保証する。プロセスガスバルブ39およびパージガスバルブ43を、バルブ39、43を制御してプロセスガスの流れを供給管から第一および第二のインジェクター17a、17bのうちの一つに供給し、第一および第二のインジェクター17a、17bのうちのもう一つへの同じプロセスガスの流れを制限するようにプログラムされることができるコントローラ45により、制御することができる。
例えば所定時間経過後に、またはプロセスガスの流量がある閾値未満になる場合に、コントローラ45の制御下でプロセスガスバルブ39とパージガスバルブ43の両方を切り替えることによって、プロセスガスの流れを第一のインジェクター17aから第二のインジェクター17bに切り替えることができる。制御システム45には、所定時間経過後に切り替えるためのタイマーを設けてもよい。そして、プロセスガスの流れを供給管37から第二のガス入口33bに向け、プロセスガスバルブ39を用いて第一のガス入口33aへの同じプロセスガスの流れを制限する。必要に応じて、第一のインジェクター17aに、パージガス源41からパージガスバルブ43および第一のガス入口33aを経由して連続的にパージガス流を供給することができる。
プロセスガスの流れを、第一のインジェクターから第二のインジェクターへ交互に複数回切り替えることができる。生産期間を更に延長するために、インジェクターシステム内のインジェクターの数を三個、四個、または更には五個に増やすことができる。
ガス制御システムは、プロセスガスの流量を測定するためのガス流量測定装置を備えることができ、ガス制御システムは、プロセスガスの流量がある閾値未満になる場合、プロセスガスの流量を第一のインジェクターから第二のインジェクターに切り替えるように構築および/またはプログラムされることができる。インジェクターからのフレークの粒子数が粒子数閾値を超えている場合、プロセスガスの流れは、第一のインジェクターから第二のインジェクターに切り替えられ得る。
反応チャンバー内の基材W上の堆積の均一性が悪化している場合、または、例えば基材Wの表面上で数えられる粒子の数が減少している場合、プロセスガスの流れは第一のインジェクターから第二のインジェクターに切り替えられ得る。基材上の粒子の均一性または数を測定するために、装置の外部または任意の内部の測定システムに基材を提供してもよい。
第一および第二のインジェクターを、両方が目詰まりした場合は新しい第一および第二のインジェクターに交換することができる。例えば、第一および第二のインジェクターを通るプロセスガスの流量が第二の閾値未満になる場合。
図3は、図1または図2aによる装置の反応チャンバー12内に配置する実施形態によるインジェクターの底面斜視図を示す。二つのインジェクター分岐22、23を有する一つの第一のインジェクター17だけが示されている。別の第二のインジェクターをライナー2内に配置することができる。
インジェクター2はまた、三つまたは四つの分岐を有することができる。インジェクターのうちの一つまたは複数は、多穴ガスインジェクターであってもよい。有利には、多穴ガスインジェクターを使用すると、反応チャンバー12内へのガス分配の均一性を向上させることができ、それにより堆積結果の均一性が向上する。
インジェクター17に開口部26のパターンを設けてもよく、このパターンは実質的にウェーハ装填上に延在する。本発明によれば、開口部の総断面積は比較的大きく、例えば100〜600、好ましくは200〜400mmである。原料ガスの伝導に利用可能なインジェクター17の内側断面は、100〜600、好ましくは200〜500mmまたはそれより大きくてもよい。インジェクター17の内側断面はらせん形状であってもよい。
開口径は、1〜15mm、好ましくは3〜12mm、より好ましくは4〜10mmとすることができる。開口部の面積は、1〜200mm、好ましくは7〜100mm、より好ましくは13〜80mmとすることができる。より大きい開口部は、開口部内の堆積層により開口部が目詰まりするのにより長い時間がかかるという利点を有することができる。
図3に示す例では、インジェクターは全体として40個の開口部を備えることができる。3mmの直径では、開口部の総断面は40×3×3×π/4=282mmとすることができる。インジェクターの各分岐の断面は、約11×30=330mmである。別のインジェクターは、直径4mmの20個の開口部を有することができ、総面積は251mmである。別のインジェクターは、直径8mmの5個の開口部を有することができ、総面積は251mmである。
各インジェクター分岐22、23において、開口部を同じ高さで対をなして設けてもよく、二つの開口部は径方向の均一性を改善するために約90度の角度で二方向にガスを注入してもよい。
開口部は、垂直方向および水平方向に離間した関係でインジェクター上に配置されてもよい。一つのインジェクター分岐上の開口部のパターンは、分岐のより高い部分の開口部で濃度がより高くなるように垂直に延在し、より高い部分でガス流が減少するのを補償することができる。インジェクター分岐はインジェクターチューブであってもよく、各インジェクターチューブはその供給端部が別々のガス供給導管に接続している。二つ以上の原料ガスを別々に注入するために、インジェクターチューブを別々のガス供給導管を介して別々のガス源に接続することができる。一つのインジェクター分岐上の開口部パターンはボートの一部のみにわたって垂直方向に延在してもよい。インジェクター17をライナー2内のバルジ2e内に収容してもよい。
アセンブリは、フランジ上に取り付けられ、ライナー2の円筒形壁の内面または外面に沿ってライナーの上端部に向かって延在する温度を測定する温度測定システムを備えることができる。温度測定システムは、ライナーに沿って異なる高さで温度を測定するためにビームの長さに沿って設けられる複数の温度センサーを有するビームを備えることができる。
ライナーの内部表面に沿って構成される場合、内部空間内の温度を測定するための複数の温度センサーを有するビームを収容するために、ライナー2に第二のバルジ2fを設けることができる。図示のように、バルジはライナーの内側に温度測定システムを収容するために外側に延びるが、バルジはまた、ライナーの外側に温度測定システムを収容するために内側に延びてもよい。インジェクターおよび温度システムをそれぞれバルジ2eおよび2f内に収容することによって、内部空間を実質的に円筒対称形に保つことができ、これは堆積プロセスの均一性に有利である。反応チャンバー12の下端部にブロードニングフランジ27を設けることができる。
図4は、図1、図2a、または図3の基材処理装置で使用するインジェクター17を示す。インジェクター17には、上から下に向かって55、57、59、61、63の番号が付けられた五つのインジェクター開口部18が設けられている。インジェクター17の上端部近傍の開口部間の距離は、インジェクターの上端部での圧力の減少を補償するために、インジェクター17の下端部における距離と比較して狭くすることができる。圧力の減少を補償するために、第一の開口部55と第二の開口部57との間の距離は、45〜49、好ましくは47mmとすることができ、開口部57と59との間では、50〜56、好ましくは53mmとすることができ、開口部59と61との間では、55〜59、好ましくは57mmとすることができ、開口部61と63の間では、70〜100、好ましくは81mmとすることができる。
開口部の総断面は、インジェクター内の圧力が比較的低い値に保たれるように比較的大きくてもよい。開口部18の直径は、4〜15mmとすることができる。例えば、開口部は8mmの直径を有することができる。インジェクターの開口部内に堆積することにより、インジェクター開口部の目詰まりを引き起こす可能性がある。より大きい開口部、例えば4〜15mm、好ましくは8mmを有することにより、インジェクター開口部が目詰まりするのにより長い時間がかかり、これはインジェクターの寿命を延ばす。
インジェクター内部のガス伝導チャネルの水平方向の内側断面は、径方向の寸法よりも大きい、実質的に円筒形のライナーの円周に接する方向の寸法を有する長方形の形状を有することができる。インジェクター17の下部28は、より小さい断面、したがってより高い圧力を有することができる。通常、これは余分な堆積を引き起こす可能性があるが、この部分では温度がより低い可能性があるので、堆積速度は依然として許容可能であることができる。
ガスインジェクター17の開口部18は、開口部の目詰まりを減らすように構成されてもよい。開口部は、内側から外側に向かって凹形状を有することができる。インジェクターの内側の表面上の開口部の表面積がインジェクターの外側の開口部18の表面積よりも大きい凹形状は、目詰まりを低減することができる。したがって内側の面積が大きいほど、圧力、および堆積がより大きい内側で、より多くの堆積が可能になる。外側では、圧力が低下し、したがって堆積もより遅くなり、より小さな面積は内側のより大きな直径と同じ堆積を集めることができる。
反応速度は典型的には圧力の増加と共に増加するので、インジェクターで圧力を減少させると、インジェクター17内の反応速度が減少する可能性がある。インジェクター内の圧力が低いことの更なる利点は、インジェクターを通るガス量が低圧で膨張することであり、原料ガスの流れが一定の場合、インジェクター内の原料ガスの滞留時間はそれに対応して短くなる。両方の組み合わせのために、原料ガスの分解を減らすことができ、それによってインジェクター内の堆積も減らすことができる。
インジェクター内に堆積すると、インジェクター内に引張強度が生じ、温度が変化した場合にインジェクターが破損する可能性がある。したがってインジェクター内の堆積量が少ないと、インジェクター17の寿命が延びる。インジェクターは、プロセスガスで堆積される材料の熱膨張係数を有する材料から作られてもよい。例えば、インジェクターは、窒化ケイ素が堆積される場合には窒化ケイ素から、またはプロセスガスによってシリコンが堆積される場合にはシリコンから作られてもよい。それによりインジェクター内の堆積層の熱膨張は、インジェクターの熱膨張と一致する可能性があり、ガスインジェクターが温度変化中に破損する可能性を低減する。炭化ケイ素は、多くの堆積材料と一致する可能性がある熱膨張を有するので、インジェクター17に好適な材料となり得る。
インジェクター内部の圧力が低いことの不利点は、インジェクターの伝導が著しく減少することである。これにより、インジェクターの全長にわたる開口部パターン上での原料ガスの流れの分布が不均一となるであろう。原料ガスの大部分は、インジェクターの入口端部近くの穴から流出するであろう。インジェクターの長さ方向に沿ってインジェクター内で原料ガスが容易に流れるように、インジェクターは大きな内側断面を備えることができる。本発明によるインジェクターを反応空間内に収容することができるようにするために、インジェクターの接線方向の大きさは径方向の大きさよりも大きく、反応空間を画定するライナーはインジェクターを収容するために外側に延びるバルジを備えることができる。
好ましい実施形態では、二成分膜の二つの構成元素を供給する二つの原料ガスは、インジェクターに入る前にガス供給システム内で混合される。これはボートの全長にわたって注入ガスの均一な組成を保証するための最も簡単な方法である。しかし、これは必須ではない。あるいは、二つの異なる原料ガスを別々のインジェクターを用いて注入し、注入後に反応空間内で混合することができる。
二つのインジェクター分岐を使用することにより、いくつかの調整可能性が可能になる。実質的に同じ組成のガスが、別々の原料ガス供給によってインジェクターの両方の部分に供給される場合、ボート全体にわたって堆積速度の均一性を微調整するために、異なるインジェクター分岐に供給される流量は、異なるように選択され得る。ボート上の二成分膜の組成を微調整するために、異なる組成のガスをインジェクターの二つのラインに供給することも可能である。しかし、注入ガスの組成が両方のインジェクターラインについて同じである場合に、最良の結果が達成され得る。
特定の実施形態を上述したが、記載した以外の方法で本発明を実施できることが理解されよう。上記の説明は例示的であり、限定的ではないことが意図されている。したがって、添付の特許請求の範囲の範囲から逸脱することなく、前述のように本発明に修正を加えることができることは当業者には明らかであろう。様々な実施形態を組み合わせて適用してもよく、または互いに独立して適用してもよい。

Claims (20)

  1. 基材処理装置であって、
    反応チャンバーと、
    前記反応チャンバー内に少なくとも一つの基材を保持するように構築および配置される基材ホルダーと、
    プロセスガスを前記反応チャンバーの内部に供給するように構築および配置され、供給管からのプロセスガスの流れを制御するように構築および配置されるガス制御システムを備えるガスインジェクターシステムであって、前記ガスインジェクターシステムは、前記同じプロセスガスのための第一および第二のインジェクターを備え、前記ガス制御システムは、前記供給管から前記第一および第二のインジェクターのうちの一つに前記プロセスガスの前記流れを供給し、前記第一および第二のインジェクターのうちのもう一つに前記同じプロセスガスの流れを制限するように、構築、配置、および/またはプログラムされる、ガスインジェクターシステムと、を備える、基材処理装置。
  2. 前記ガス制御システムは、前記第一および第二のインジェクターのうちの前記一つからのプロセスガスの前記流れを、前記第一および第二のインジェクターのうちのもう一つに切り替えるように構築、配置、および/またはプログラムされることができる、請求項1に記載の基材処理装置。
  3. 前記ガス制御システムは、プロセスガスの前記流れを、前記第一および第二のインジェクターのうちの前記一つから、前記第一および第二のインジェクターのうちのもう一つに切り替えた後、前記供給管から前記第一および第二のインジェクターのうちの前記一つへの前記プロセスガスの前記流れを制限するように構築、配置、および/またはプログラムされる、請求項2に記載の基材処理装置。
  4. 前記ガス制御システムは、タイマーを備え、所定の時間経過後に切り替えるように、構築、および/またはプログラムされる、請求項2に記載の基材処理装置。
  5. 前記ガス制御システムは、プロセスガスの流量を測定するためのガス流量測定装置を備え、前記ガス制御システムは、前記プロセスガスの前記流量がある閾値未満になる場合、切り替えるように構築および/またはプログラムされる、請求項2に記載の基材処理装置。
  6. 前記装置は、前記反応チャンバーの前記壁に沿って、前記反応チャンバーの前記内部に延在するように構築され、および配置されるライナーを備える、請求項1に記載の基材処理装置。
  7. 前記ライナーは、下端部のライナー開口部と、上端部の上部封止部とにより画定される実質的に円筒形の壁を備え、前記ライナーはガスのためにライナー開口部の上方で実質的に閉じられている、請求項6に記載の基材処理装置。
  8. 前記第一および第二のインジェクターは、前記ライナーの前記実質的に円筒形の壁に沿って前記上端部に向かって構築され、および配置される、請求項7に記載の基材処理装置。
  9. 前記第一および第二のインジェクターは、細長く、および開口部のパターンが設けられている、請求項1に記載の基材処理装置。
  10. 前記インジェクター内部のガス伝導チャネルの内側断面積は、100〜1500mmである、請求項9に記載の基材処理装置。
  11. 前記インジェクター内部の前記ガス伝導チャネルの内側断面は、径方向の寸法よりも大きい、前記実質的に円筒形の反応チャンバーの前記円周に接する方向の寸法を有する形状を有する、請求項10に記載の基材処理装置。
  12. 少なくとも一つの開口部の面積は、1〜200mmである、請求項9に記載の基材処理装置。
  13. 前記インジェクターの下端部から上端部に向かうにつれて、前記開口部間の距離は減少する、請求項9に記載の基材処理装置。
  14. 前記開口部は、ガスが少なくとも二つの異なる方向に注入されるように構成される、請求項9に記載の基材処理装置。
  15. 基材処理方法であって、
    反応チャンバー内の基材ホルダー上に基材を供給することと、
    プロセスガスの流れを第一のガスインジェクターを用いて供給管から前記反応チャンバーの内部に供給することと、
    前記供給管から第二のインジェクターへの前記同じプロセスガスの前記反応チャンバー内部への流れを制限すること、を含む、方法。
  16. 前記方法は、前記プロセスガスの前記流れを、前記第一のインジェクターから前記第二のインジェクターに切り替えることを含む、請求項15に記載の基材処理方法。
  17. 前記方法は、プロセスガスの前記流れを前記第一のインジェクターから前記第二のインジェクターに切り替えた後に、前記供給管から前記第一のインジェクターへの前記プロセスガスの前記流れを制限することを含む、請求項16に記載の基材処理方法。
  18. 前記方法は、所定時間経過後に、プロセスガスの前記流れを、前記第一のインジェクターから前記第二のインジェクターに切り替えることを含む、請求項16に記載の基材処理方法。
  19. 前記方法は、プロセスガスの前記流量がある閾値未満になる、粒子が検出される、またはウェーハ上の堆積物均一性が良くない場合、プロセスガスの前記流れを前記第一のインジェクターから前記第二のインジェクターに切り替えることを含む、請求項16に記載の基材処理方法。
  20. 前記方法は、前記第一および第二のインジェクターを新しい第一および第二のインジェクターに置き替えることを含む、請求項17に記載の基材処理方法。
JP2019079777A 2018-04-30 2019-04-19 基材処理装置および方法 Pending JP2019203191A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/967,146 2018-04-30
US15/967,146 US20190330740A1 (en) 2018-04-30 2018-04-30 Substrate processing apparatus and method

Publications (1)

Publication Number Publication Date
JP2019203191A true JP2019203191A (ja) 2019-11-28

Family

ID=68292108

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019079777A Pending JP2019203191A (ja) 2018-04-30 2019-04-19 基材処理装置および方法

Country Status (5)

Country Link
US (1) US20190330740A1 (ja)
JP (1) JP2019203191A (ja)
KR (1) KR20190125939A (ja)
CN (1) CN110416050A (ja)
TW (2) TW202338149A (ja)

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140846A (zh) * 2020-04-17 2021-11-01 荷蘭商Asm Ip私人控股有限公司 注入器、及垂直熔爐
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202229795A (zh) * 2020-11-23 2022-08-01 荷蘭商Asm Ip私人控股有限公司 具注入器之基板處理設備
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP1700778S (ja) * 2021-03-15 2021-11-29
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR20230007952A (ko) * 2021-07-06 2023-01-13 에이에스엠 아이피 홀딩 비.브이. 추출기 챔버가 구비된 복수의 기판 처리용 장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
WO2007108401A1 (ja) * 2006-03-20 2007-09-27 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP2013207056A (ja) * 2012-03-28 2013-10-07 Hitachi Kokusai Electric Inc 基板処理装置、及び、半導体装置の製造方法
JP2014067783A (ja) * 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び基板処理方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138509A (en) * 1977-12-23 1979-02-06 Motorola, Inc. Silicon purification process
JP2002043229A (ja) * 2000-07-25 2002-02-08 Hitachi Kokusai Electric Inc 半導体製造装置
US7494941B2 (en) * 2003-11-20 2009-02-24 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device, and substrate processing apparatus
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
US20100262382A1 (en) * 2009-04-13 2010-10-14 Sable Systems International, Inc. Method and apparatus for continuous measurement of differences in gas concentrations
JP5720406B2 (ja) * 2011-05-10 2015-05-20 東京エレクトロン株式会社 ガス供給装置、熱処理装置、ガス供給方法及び熱処理方法
KR101677560B1 (ko) * 2014-03-18 2016-11-18 주식회사 유진테크 공정공간 높이별 가열온도를 조절할 수 있는 히터를 구비한 기판 처리 장치
JP6460874B2 (ja) * 2015-03-26 2019-01-30 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
WO2007108401A1 (ja) * 2006-03-20 2007-09-27 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP2013207056A (ja) * 2012-03-28 2013-10-07 Hitachi Kokusai Electric Inc 基板処理装置、及び、半導体装置の製造方法
JP2014067783A (ja) * 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び基板処理方法

Also Published As

Publication number Publication date
TW202338149A (zh) 2023-10-01
KR20190125939A (ko) 2019-11-07
TWI806986B (zh) 2023-07-01
CN110416050A (zh) 2019-11-05
US20190330740A1 (en) 2019-10-31
TW201945580A (zh) 2019-12-01

Similar Documents

Publication Publication Date Title
JP2019203191A (ja) 基材処理装置および方法
JP7467723B2 (ja) 垂直炉用のライナーおよびフランジ組立品ならびにライナーおよび垂直炉
US20210324518A1 (en) Injector configured for arrangement within a reactor of a vertical furnace and vertical furnace
KR102222947B1 (ko) 화학 증착 장치
US7931749B2 (en) Shower head and film-forming device using the same
KR20030081177A (ko) 단일웨이퍼처리형 화학증착장치 및 방법
JP2009503875A (ja) ガスマニホルドバルブクラスタ
JP6778553B2 (ja) 原子層成長装置および原子層成長方法
KR20160076456A (ko) 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US20130220222A1 (en) Gas Distribution Apparatus with Heat Exchanging Channels
KR101585924B1 (ko) 탄화규소 써멀 화학기상증착장치의 가스반응로
US20220162751A1 (en) Substrate processing apparatus with an injector
TW202235675A (zh) 注入器、及基板處理設備
CN116334583A (zh) 加热装置、化学气相沉积设备及吹扫方法
KR100741579B1 (ko) 화학기상증착 장비의 웨이퍼 히팅 장치
KR20070016465A (ko) 화학 기상 증착 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220405

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230320

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230928

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20231214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240507