CN101985746A - 能够控制反应室内的排放流体流动路径的化学气相沉积装置 - Google Patents

能够控制反应室内的排放流体流动路径的化学气相沉积装置 Download PDF

Info

Publication number
CN101985746A
CN101985746A CN2010102748733A CN201010274873A CN101985746A CN 101985746 A CN101985746 A CN 101985746A CN 2010102748733 A CN2010102748733 A CN 2010102748733A CN 201010274873 A CN201010274873 A CN 201010274873A CN 101985746 A CN101985746 A CN 101985746A
Authority
CN
China
Prior art keywords
vapor deposition
chamber
chemical vapor
wall portion
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010102748733A
Other languages
English (en)
Inventor
韩明宇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LIG ADP CO Ltd
Original Assignee
LIG ADP CO Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LIG ADP CO Ltd filed Critical LIG ADP CO Ltd
Publication of CN101985746A publication Critical patent/CN101985746A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了一种能够控制反应室内的排放流体的流动路径的化学气相沉积装置,将所述化学气相沉积装置装配为不必凭借重新设计和重新制造该装置即可控制基座和腔室的内表面之间的气体排放路径的宽度。化学气相沉积装置具有:腔室;位于腔室内部的并且上面能加载基板的基座;向基板注入处理气的喷头;以及引导单元,该引导单元可拆卸地安装在腔室的内部以引导处理气,从而使从喷头注入的处理气通过腔室内形成的腔室孔得以排放。

Description

能够控制反应室内的排放流体流动路径的化学气相沉积装置
相关申请的交叉引用
本申请要求2009年7月28日递交至韩国知识产权局的韩国专利申请第10-2009-0068831号的优先权,本文通过引用并入其全部内容。
技术领域
本发明主要涉及用于形成薄层的半导体制造装置,更具体而言,涉及化学气相沉积装置。
背景技术
金属有机化学气相沉积(MOCVD)是一种通过例如在受热反应器中使基板与III族气体和V族气体反应而将薄层沉积在基板上的技术。使用MOCVD,能够以精确地控制每个薄层的厚度或化学组成的能力来形成构建薄层。因而,MOCVD广泛地用于半导体制造工艺。
在反应器的处理室中形成薄层之后,将处理室中的剩余气体排出处理室。当大气温度降至低于所排放的剩余气体的蒸发温度时,这可能导致在处理室中形成不合需要的颗粒。所述不合需要的颗粒可能落到处理室中的基板上,并且其将在获得具有均匀的层质量或者均匀的层厚度分布的薄层方面造成困难。同时,这些不合需要的颗粒能够附着到气体排放路径上,引起保温效应。这种保温效应是指在处理室的预定温度和实际温度之间产生的温度差,而该温度差将对薄层的质量产生负面影响。
此外,当由于例如在气体排放路径中产生的涡流而没有实现均匀的处理气流动分布时,甚至会产生更多不合需要的颗粒。引起涡流产生的一个潜在的原因是基座和邻近的处理室壁之间的气体排放路径的宽度不合适。
在传统的MOCVD装置中,基座和处理室壁之间的气体排放路径的宽度由设计固定,以至于不能控制气体排放路径的宽度。因而,当处理室内的处理气的流体流动分布不合适时,可能必须重新设计和制造该设备。
发明内容
本发明提供一种化学气相沉积装置,所述装置具有能控制在基座和处理室壁之间的气体排放路径的宽度的构造,而无须重新设计和制造该化学气相沉积装置。
根据本发明的一个方面,化学气相沉积装置包括:腔室;位于腔室内部的并且上面加载基板的基座;向基板注入处理气的喷头;以及引导单元,所述引导单元可拆卸地安装在腔室内部以引导处理气,从而使从喷头注入的处理气通过腔室内形成的腔孔得以排放。
根据本发明的另一方面,在化学气相沉积装置中使用引导单元,所述化学气相沉积装置包括腔室、位于腔室内部的并且其上加载基板的基座以及向基板注入处理气的喷头,并且该引导单元可拆卸地安装在腔室内部以引导处理气,从而使从喷头注入的处理气通过腔室内形成的腔孔得以排放。
本发明的另一方面提供了一种用于控制化学气相沉积装置的排放路径的方法,所述化学气相沉积装置包括腔室、位于腔室内部的并且其上加载基板的基座以及向基板注入处理气的喷头,该方法包括安装将处理气引导至形成在腔室中的腔孔内的引导单元以便控制处理气所经过的排放路径的宽度。
附图说明
通过参考相关附图对本发明的示例性实施方式进行具体描述而使本发明的上述和其它特征及优点变得更加显而易见,其中:
图1为示出安装在腔室内部的可更换引导单元的本发明的实施方式的化学气相沉积装置的横截面图;
图2为本发明的实施方式的化学气相沉积装置的横截面图,所述化学气相沉积装置中设置有为了基本消除腔室内的不规则空气流的引导单元;
图3为本发明的实施方式的化学气相沉积装置的横截面图,所述化学气相沉积装置中安置有为了基本消除腔室内的不规则空气流的辅助板;
图4~图5为示出打开腔室以更换其中的引导单元的本发明实施方式的化学气相沉积装置的横截面图;
图6为本发明实施方式的化学气相沉积装置的横截面图,该图示出在腔室中人工地、通过自动机械或者通过其它方式的自动程序更换的不同尺寸引导单元;和
图7为本发明实施方式的用于控制化学气相沉积装置的气体排放路径的方法的流程图。
具体实施方式
现在将参考显示本发明的示例性实施方式的附图来更加充分的描述本发明。然而,本发明将体现为不同的形式并且不应解释为限制于本文所述的实施方式。相反,提供这些实施方式是为使本公开完整和彻底并且向本领域技术人员完整地表达本发明的范围。在附图中,为了清楚地说明,层和区域的尺寸可能被夸大。附图中相同的参考数字代表相同的元件。
图1为本发明的实施方式的化学气相沉积装置的横截面图,其示出了置于包含第一腔室100和第二腔室200的化学气相沉积装置内的引导单元610(可更换,下文将参考图5~图7进行更详细的描述)。本实施方式可应用于其它各种化学气相沉积装置以及通用MOCVD装置。
如图1所述,第一腔室100和第二腔室200彼此连接以形成化学气相沉积装置。该化学气相沉积装置将主处理气G1提供至第二腔室200中,所述主处理气G1可以为任何预定数量的处理气的组合,并且图1被设计为提供由两种类型的处理气构成的主处理气G1。第一腔室100形成有提供第一处理气的第一进气口101和提供第二处理气的第二进气口(图1的横截面图中未示出)。如在图1中示出的第一进气口101的情况,第一和第二进气口可穿过第一腔室100的上表面而形成。
可穿过第一腔室100的侧表面形成惰性气体进气口102以将惰性气体G2供给到第二腔室200中。
如图1中所示,贯穿第二腔室200的侧表面的多个腔孔201将在基板S上形成薄层后残留的主处理气G1和惰性气体G2排出。
为了在第二腔室200中形成薄层,喷头300向基板S提供主处理气G1。本发明实施方式的主处理气G1包含第一处理气和第二处理气,通过第一和第二处理气之间反应而获得的产物沉积在基板S上以形成薄层。根据本发明的实施例,第一处理气可以是包含III族元素的气体,第二处理气可以是包含V族元素的气体。
第一配气空间310接收通过第一进气口101导入的第一处理气并且将其分配到多个第一供气管330并进入第二腔室200内。第二配气空间320接收通过第二进气口(图1中未示出)导入的第二处理气并且将其分配到多个第二供气管340并进入第二腔室200内。第一和第二配气空间310、320可以形成在喷头300内。混合通过第一供气管330提供的第一处理气和通过第二供气管340提供的第二处理气以形成处理气G1。
惰性气体注入单元400将惰性气体G2注入第二处理室200中以加快处理气G1的排放。惰性气体注入单元400可形成为环或环状物形状,该环或环状物在其更接近第一腔室100的侧壁的外圆周处环绕喷头300。惰性气体注入单元400具有多个形成在其下表面上的贯穿孔。通过惰性进气口102将惰性气体G2导入到惰性气体室401,并且通过所述多个贯穿孔可以将惰性气体G2向下注入到第二腔室200中。
基板S装载在基座500的上表面,使得可以在该基板的上表面形成薄层。可以在基座500内提供加热器(未示出)。
为了形成具有均匀厚度的薄层,可以在基座500下方提供能够旋转基座500的旋转件501。在如图1所示的本发明的实施方式中,基板S和基座500作为单个整体而旋转。
引导单元610放置在第二腔室200的内部以将薄膜形成后剩余的处理气G1和惰性气体G2引导进入到腔孔201中,残余气体G1、G2通过所述腔孔排出第二腔室。引导单元610的各部分包括形成有第一壁部孔615的第一壁部611、曲部612和第二壁部613。
如图1所示,第一壁部611更靠近第二腔室200的侧壁,第二壁部613通过曲部612连接到第一壁部611。第二壁部613以d1的距离与第一壁部611隔开。引导单元610可由石英制成并且可类似管状。第二壁部613以预定距离与基座500隔开以避免可能由旋转基座500引起的摩擦。然而,优选的是,将第二侧壁613和旋转基座500彼此之间的距离保持得尽可能小以使应该排出第二腔室200的残余气体不会被导入第二壁部613和基座500之间的间隙中。
第一壁部611的第一壁部孔615可以与第二腔室200的腔室孔201对准以为排放的残余气体提供连续的路径。
当第二壁部613和第一壁部611之间的距离d1非常大时(见图1),由于在第二腔室200内形成的不规则流体流(涡流),在基板S上形成薄层之后残留的由处理气G1和惰性气体G2组成的剩余气体可能不会顺利地排出第二腔室200。由于该不规则流体流,从处理气沉淀的颗粒可能过多地附着于排放路径上,而附着于排放路径上的颗粒是引起保温效应的一个成因。这可能导致反应空间800的温度高于预设温度并且将对所形成薄膜的质量产生负面影响。
图2为本发明的实施方式的化学气相沉积装置的横截面图,所述化学气相沉积装置中设置有为了基本消除腔室200内的不规则空气流的引导单元620。
如图2所示,安装引导单元620,使得第二壁部623以距离d2(d1>d2)与第一壁部621隔开,并因而在反应空间800内不产生不规则流体流。可以将第一壁部621设置成圆筒状,从而形成与基座500的同心圆环。
通过观察化学气相沉积装置的特定部分上的强颗粒积聚的区域、通过基于第二腔室200内的反应空间800的形状进行计算机模拟,或通过使用传感器检测反应空间800内部的流体流,可以确定在第二腔室200内部是否形成涡流。
引导单元620将薄膜形成后剩余的主处理气G1和惰性气体G2引导至多个第一壁部孔625中。于是,流过第一壁部孔625的流动气体G1和G2穿过多个腔室孔201并经由延伸部分624排出第二腔室200。
图3为本发明的实施方式的化学气相沉积装置的横截面图,所述化学气相沉积装置中安置有为了基本消除腔室200内的不规则空气流的辅助板700。
通过在第二腔室200内放置辅助板700,流体排出路径的宽度(即,第二壁613和辅助板700之间的宽度)进一步缩窄至d3(d1>d2>d3)。穿过辅助板主体701的一部分形成辅助板孔703。辅助板孔703可以在面对第一壁部孔615的位置形成。可以在辅助板主体701的侧表面中设置连接辅助板孔702和第一壁部孔615的连接部702。
图4~图5为本发明实施方式的化学气相沉积装置的横截面图,其中显示了为更换引导单元610而将第一腔室100与第二腔室分隔开。
现在参考图4~图5,当在反应空间800内检测到不规则流体流时,为了使用其它类型的引导单元(例如图2中示出的620)代替引导单元610或者为了插入辅助板(例如图3中示出的700)以缩窄残余处理气排出第二腔室的排放路径的宽度,可以打开第一腔室100以从第二腔室200人工地、通过自动机械或者通过其它自动程序移除引导单元610。
图6为化学气相沉积装置的横截面图,该图示出以人工方式、自动机械或者以其它方式的自动程序安装在腔室200中的引导单元620,该引导单元620具有不同于图4-5中示出的引导单元610的尺寸。
如图6所示,具有以d2间隔开的第一壁部621和第二壁部623的引导单元620可以安装在基座500和第二腔室200的侧壁之间的第二腔室200中。然后可将被隔开的第一腔室100与第二腔室200重新装配在一起。
图7为本发明实施方式的用于控制化学气相沉积装置的气体排放路径的方法的流程图。
在运行化学气相沉积装置后,通过上述的各种方法在步骤S10检查是否在第二腔室200中形成涡流。例如,通过分离第一腔室100和第二腔室200并且观察引导单元的一个或多个特定部分上的强颗粒积聚区域、通过基于反应空间的形状执行计算机模拟或者通过使用传感器检测反应空间的流体流,可以确定在第二腔室内是否形成涡流。
当确定在步骤S10产生了涡流时,在步骤S11将第一腔室100与第二腔室200分离。
接着,在步骤S12,使用较小尺寸的或者使排放路径的宽度变窄的另一引导单元替代第二腔室200中的引导单元,通过该排放路径将处理气流出第二腔室200。作为另一种选择,在步骤S12,可插入辅助板以实现比由现有的引导单元提供的宽度更窄的排放路径的宽度,通过该排放路径将处理气流出第二腔室200。执行步骤S12的原因是确定了处理气排放路径的宽度大至足以产生涡流。
在步骤S13,重装配第一和第二腔室。
接着,在步骤S14,恢复化学气相沉积装置的运行。
此后在步骤S10,再次检查第二腔室200以确定第二腔室200内是否产生涡流。当检测到涡流时,重复步骤S11~S14。当没有检测到涡流时,在步骤S20,对比预设值检查被排放的处理气的压力或处理气的排放速率,以确定排放压力或排放速率是否较高。可以通过使用压力传感器等来检测排放压力或者排放速率。
当在步骤S20确定排放压力或排放速率高于预设值时,在步骤S21,将第一腔室100从第二腔室200分离。
接着,在步骤S22,使用较大尺寸的或者使排放路径的宽度变宽的另一引导单元替代第二腔室200中的引导单元,处理气通过该排放路径流出第二腔室200。执行步骤S22的原因是由于排放路径的宽度小于最优宽度而导致排放压力或排放速率比预设值高。
接着,在步骤S23,重装配第一和第二腔室并且在步骤S24恢复化学气相沉积装置的运行。此后在步骤S20,重新检测第二腔室200以确定排放压力或排放速率是否比预设值高。当在步骤S20确定了排放压力或排放速率比预定值高时,重复步骤S21~S24。当在步骤S20确定了排放压力或者排放速率不是很高或者与预设值相比足够优化时,可以确定操作过程中在第二腔室内形成了合适的排放路径而没有引起流体流的涡流。因此,可以继续运行化学气相沉积装置。
当然,涉及检测排放压力或者排放速率是否比预设值高的步骤S20~S24可以在检测是否产生涡流的步骤S10~S14之前执行。
根据本发明的实施方式,即使剩余气体排出第二腔室200的排放路径没有得到恰当地设计,也能够通过仅仅替换引导单元或者为引导单元插入额外的部件(例如,图3中的辅助板700)来恰当地控制排放路径而不必重新设计或者重新组装化学气相沉积装置。
在已经参考其示例性实施方式具体地示出并描述本发明的情况下,本领域普通技术人员应理解在不脱离由后附权利要求所限定的本发明的精神和范围的情况下,可在其中作出各种形式和细节上的改变。因此,对本发明的实施方式的进一步改进不能脱离本发明的技术范围。

Claims (20)

1.一种化学气相沉积装置,所述化学气相沉积装置包含:
腔室,所述腔室具有用于将处理气引入所述腔室中的进气口和用于将气体排出所述腔室的排气口;
基座,所述基座具有其上能够加载位于腔室内部的基板的表面,其中向基座的表面提供所述处理气;和
可更换引导单元,所述可更换引导单元可拆卸地安装在所述腔室内部以将所述腔室中的气体引导至所述排气口。
2.如权利要求1所述的化学气相沉积装置,所述化学气相沉积装置还包含:
向其上能够加载基板的基座表面提供处理气的喷头。
3.如权利要求1所述的化学气相沉积装置,其中,所述引导单元设置在所述腔室中以环绕所述基座。
4.如权利要求1所述的化学气相沉积装置,其中,所述引导单元包含位于所述腔室中的与所述基座有一定距离的第一壁部。
5.如权利要求4所述的化学气相沉积装置,其中,所述第一壁部为圆筒状,且所述基座位于所述圆筒状第一壁部的内部。
6.如权利要求4所述的化学气相沉积装置,其中,所述引导单元还包含从所述第一壁部的下端向所述基座延伸的曲部。
7.如权利要求4所述的化学气相沉积装置,其中,所述引导单元还包含连接至所述第一壁部的第二壁部,其中所述第二壁部环绕处于其中的所述基座,并且其中所述第一壁部以预定的第一壁部和第二壁部的距离环绕所述第二壁部。
8.如权利要求7所述的化学气相沉积装置,其中,所述第一壁部和第二壁部为圆筒状,且所述第一壁部的直径大于第二壁部的直径,并且其中所述第二壁部处在最靠近所述基座而不在其间引起摩擦的位置。
9.如权利要求8所述的化学气相沉积装置,其中,所述引导单元的第一壁部和第二壁部的下端通过曲部连接。
10.如权利要求8所述的化学气相沉积装置,其中,所述第一壁部形成有第一壁部孔以产生至所述排气口的排放路径。
11.如权利要求7所述的化学气相沉积装置,其中,所述引导单元还包含连接在所述第一壁部和第二壁部之间的辅助板。
12.如权利要求11所述的化学气相沉积装置,其中,连接在所述第一壁部和第二壁部之间的所述辅助板为圆筒状。
13.如权利要求11所述的化学气相沉积装置,其中,该辅助板形成有辅助板孔以产生至所述第一壁部孔的排放路径。
14.如权利要求1所述的化学气相沉积装置,其中,所述引导单元由石英制成。
15.一种用于化学气相沉积装置中的可更换引导单元,所述化学气相沉积装置包含腔室、具有其上加载位于所述腔室中的基板的表面的基座和向所述基座的表面注入处理气的喷头,其中,所述可更换引导单元可拆卸地安装在所述腔室中以将从喷头注入的所述处理气引导至形成在所述腔室中的腔孔中。
16.一种控制化学气相沉积装置中的气体排放路径的方法,所述化学气相沉积装置具有腔室、具有其上加载位于所述腔室中的基板的表面的基座和向所述基板的表面注入处理气的喷头,所述方法包括:
安装具有将所述气体引导至形成在所述腔室中的腔孔的第一侧壁的第一引导单元,以便控制处理气所通过的排放路径的宽度。
17.如权利要求16所述的方法,所述方法还包括:
在所述基座和所述第一引导单元的第一侧壁之间插入辅助板以控制处理气的排放路径的宽度。
18.如权利要求16所述的方法,所述方法还包括:
使用具有第二侧壁的第二引导单元代替所述第一引导单元,其中所述第一侧壁与所述基座之间的距离不同于所述第二侧壁与所述基座之间的距离,以控制处理气的排放路径的宽度。
19.如权利要求18所述的方法,其中,所述第一侧壁和第二侧壁为圆筒状,从而使所述第二引导单元的半径小于所述第一引导单元的半径,以起到减小处理气的排放路径的宽度的作用。
20.如权利要求18所述的方法,其中,所述第一侧壁和第二侧壁为圆筒状,从而使所述第二引导单元的半径大于所述第一引导单元的半径,以起到增大处理气的排放路径的宽度的作用。
CN2010102748733A 2009-07-28 2010-07-28 能够控制反应室内的排放流体流动路径的化学气相沉积装置 Pending CN101985746A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020090068831A KR101245769B1 (ko) 2009-07-28 2009-07-28 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
KR10-2009-0068831 2009-07-28

Publications (1)

Publication Number Publication Date
CN101985746A true CN101985746A (zh) 2011-03-16

Family

ID=43525786

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010102748733A Pending CN101985746A (zh) 2009-07-28 2010-07-28 能够控制反应室内的排放流体流动路径的化学气相沉积装置

Country Status (4)

Country Link
US (1) US8876974B2 (zh)
KR (1) KR101245769B1 (zh)
CN (1) CN101985746A (zh)
TW (1) TWI393802B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102560429A (zh) * 2012-03-13 2012-07-11 中微半导体设备(上海)有限公司 金属有机气相沉积装置
CN103397309A (zh) * 2013-08-02 2013-11-20 光垒光电科技(上海)有限公司 进气装置及反应腔室
CN108070846A (zh) * 2016-11-15 2018-05-25 Asm知识产权私人控股有限公司 气体供应单元及包括气体供应单元的基板处理装置
CN109196141A (zh) * 2016-06-02 2019-01-11 应用材料公司 连续化学气相沉积(cvd)多区域处理套件
CN114807904A (zh) * 2022-04-27 2022-07-29 无锡先为科技有限公司 Cvd反应器及其应用的导流组件
CN115101400A (zh) * 2022-08-25 2022-09-23 拓荆科技(上海)有限公司 半导体加工装置

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (zh) * 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9123758B2 (en) * 2013-02-06 2015-09-01 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
CN104746042A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及等离子体加工设备
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
DE102017203255A1 (de) * 2016-03-02 2017-09-07 Veeco Instruments Inc. Peripheriespülverschluss -und flusssteuerungssysteme und -verfahren
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022155065A (ja) * 2021-03-30 2022-10-13 東京エレクトロン株式会社 基板処理装置及び基板処理方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102489015B1 (ko) * 2021-11-10 2023-01-13 신정훈 질화갈륨 단결정 성장을 위한 하이드라이드 기상 증착 장비

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1848376A (zh) * 2005-12-26 2006-10-18 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工系统反应腔室
CN101197249A (zh) * 2006-12-06 2008-06-11 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室内衬及包含该内衬的反应腔室
CN101441982A (zh) * 2007-11-20 2009-05-27 北京北方微电子基地设备工艺研究中心有限责任公司 腔室内衬及等离子体加工装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6176929B1 (en) * 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
JP2000349078A (ja) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
JP4583591B2 (ja) * 2000-12-15 2010-11-17 東京エレクトロン株式会社 処理方法及び処理装置
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
FR2851258B1 (fr) * 2003-02-17 2007-03-30 Commissariat Energie Atomique Procede de revetement d'une surface, fabrication d'interconnexion en microelectronique utilisant ce procede, et circuits integres
JP3940095B2 (ja) * 2003-05-08 2007-07-04 忠弘 大見 基板処理装置
JP4445226B2 (ja) * 2003-08-06 2010-04-07 株式会社アルバック 薄膜製造装置
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
KR100673003B1 (ko) * 2005-06-03 2007-01-24 삼성전자주식회사 증착 장치
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR101161407B1 (ko) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1848376A (zh) * 2005-12-26 2006-10-18 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工系统反应腔室
CN101197249A (zh) * 2006-12-06 2008-06-11 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室内衬及包含该内衬的反应腔室
CN101441982A (zh) * 2007-11-20 2009-05-27 北京北方微电子基地设备工艺研究中心有限责任公司 腔室内衬及等离子体加工装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102560429A (zh) * 2012-03-13 2012-07-11 中微半导体设备(上海)有限公司 金属有机气相沉积装置
CN102560429B (zh) * 2012-03-13 2014-12-03 中微半导体设备(上海)有限公司 金属有机气相沉积装置
TWI494462B (zh) * 2012-03-13 2015-08-01
CN103397309A (zh) * 2013-08-02 2013-11-20 光垒光电科技(上海)有限公司 进气装置及反应腔室
CN109196141A (zh) * 2016-06-02 2019-01-11 应用材料公司 连续化学气相沉积(cvd)多区域处理套件
CN109196141B (zh) * 2016-06-02 2021-06-29 应用材料公司 连续化学气相沉积(cvd)多区域处理套件
CN108070846A (zh) * 2016-11-15 2018-05-25 Asm知识产权私人控股有限公司 气体供应单元及包括气体供应单元的基板处理装置
CN108070846B (zh) * 2016-11-15 2019-12-31 Asm知识产权私人控股有限公司 气体供应单元及包括气体供应单元的基板处理装置
CN114807904A (zh) * 2022-04-27 2022-07-29 无锡先为科技有限公司 Cvd反应器及其应用的导流组件
CN115101400A (zh) * 2022-08-25 2022-09-23 拓荆科技(上海)有限公司 半导体加工装置

Also Published As

Publication number Publication date
KR101245769B1 (ko) 2013-03-20
TWI393802B (zh) 2013-04-21
TW201114943A (en) 2011-05-01
US8876974B2 (en) 2014-11-04
KR20110011268A (ko) 2011-02-08
US20110027480A1 (en) 2011-02-03

Similar Documents

Publication Publication Date Title
CN101985746A (zh) 能够控制反应室内的排放流体流动路径的化学气相沉积装置
CN108239766B (zh) 成膜装置、成膜方法以及隔热构件
JP4943466B2 (ja) 半導体装置の製造方法及び基板処理装置
TW202338149A (zh) 基材製程裝置及方法
KR100997104B1 (ko) 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
KR100747735B1 (ko) 반도체 제조 장치
KR20060044039A (ko) 반도체 제조장치
TW200732500A (en) Apparatus and process for plasma-enhanced atomic layer deposition
US20070034158A1 (en) Substrate processing apparatus and semiconductor device producing method
KR20050044248A (ko) 표면파 여기 플라즈마 cvd 시스템
JP2012533876A (ja) 半導体製造装置
KR100932964B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 반응 용기
CN102766902B (zh) 工艺腔室装置和具有该工艺腔室装置的基片处理设备
JP2004006551A (ja) 基板処理装置および基板処理方法
JP2007092152A (ja) 連続熱cvd装置
KR101284084B1 (ko) 기판 처리 장치
KR20090070573A (ko) 탑 노즐 및 기판 처리 장치
JP5421455B2 (ja) 金属学的熔融及び処理ユニット
CN202719330U (zh) 防腐防沉积的真空管道和具有它的微电子设备
KR102301873B1 (ko) 기상 성장 장치, 에피택셜 웨이퍼의 제조 방법 및 기상 성장 장치용의 어태치먼트
KR100982985B1 (ko) 화학 기상 증착 장치
KR20000024867A (ko) 상압 화학기상 증착장치
KR101503255B1 (ko) 기판 처리 장치 및 방법
KR101060755B1 (ko) 화학 기상 증착장치
KR20240075189A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20110316