TW201104742A - Plasma etching method, plasma etching apparatus and computer-readable storage medium - Google Patents

Plasma etching method, plasma etching apparatus and computer-readable storage medium Download PDF

Info

Publication number
TW201104742A
TW201104742A TW099106056A TW99106056A TW201104742A TW 201104742 A TW201104742 A TW 201104742A TW 099106056 A TW099106056 A TW 099106056A TW 99106056 A TW99106056 A TW 99106056A TW 201104742 A TW201104742 A TW 201104742A
Authority
TW
Taiwan
Prior art keywords
gas
processing
plasma etching
plasma
etching method
Prior art date
Application number
TW099106056A
Other languages
English (en)
Inventor
Mukawa Takahito
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201104742A publication Critical patent/TW201104742A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

201104742 :、發明說明: 【發明所屬之技術領域】 本發明關於—種以ArF光阻作為遮罩 =處理基板之含Si反射防止膜進行_之電装敍刻方 法、電漿蝕刻裝置及電腦記憶媒體。 x 【先前技術】 Ο 〇 從以往於轉财置之製造步財係 行電漿_處理,以使石夕氧化膜等虫刻膜= 形。又’為了能對應於電路圖案的微細化,這類電倾^, 數係利用以較短波長的光來進行曝光之ArF光阻。水〇 =用上述ArF光阻作為遮罩來進行電_刻時,由於 對受性低,故已提出有-種能減少因電漿而 形成於° Λ種技術已知有—種在對 』,=崎〇2氣體之混合氣體等所構編刻 曰本特開秦軸號公報)。 文獻. 須抑罩之電編靖中,存在有必 率及充分的選擇比之問二高钱刻 阻受到損傷(變得㈣不具有能抑制ArF光 比來tf人访;^ )能以祕刻率及充分的選擇 期望能針對_技術進行 電組狀技術’因而 3 201104742 【發明内容】 本發明有鑑於上述習知情事,乃提供一種能抑制 ArF光阻受到損傷(變得凹凸不平),且能以高蝕刻率及 充分的選擇比來對含矽反射防止膜(Si-ARC)進行電漿 名虫刻之電黎钱刻方法、電浆姓刻裝置及電腦記憶媒體。
申請專利範圍第1項之電漿蚀刻方法,係利用電漿 蝕刻裝置而以形成於基板之ArF光阻作為遮罩並藉由 處理氣體的電漿來對位於該ArF光阻的下層之含Si反 射防止膜進行蝕刻,該電漿蝕刻裝置具有:設置於處理 反應室内而載置有該基板之下部電極、於該處理反應室 内對向於該下部電極而設置之上部電極、將該處理氣體 供給至該處理反應室内之處理氣體供給機構、以及於該 下部電極與該上部電極之間施加高頻電功率之高頻電 源;其特徵在於:係使用包含有CF系氣體及/或CHF 系氣體、CF3I氣體與氧氣之混合氣體來作為該處理氣 體,並對該上部電極施加直流電壓Q 申請專利範圍第2項之電漿蝕刻方法係根據申請 專利範圍第1項之電漿蝕刻方法,其中對該上部電極所 施加之直流電壓的電壓值為-1000V〜-300V的範圍。 申請專利範圍第3項之電漿蝕刻方法係根據申請 專利範圍第1或2項之電漿蝕刻方法,其中該處理氣體 為CF4氣體、CF3I氣體與氧氣之混合氣體;CF3I氣體 流量相對於CF4氣體流量與CF3I氣體流量的合計的比 201104742 (cf3i氣體流量/(CF4氣體流量+CF3I氣體流量))為 0.1〜0.3的範圍。 申請專利範圍第4項之電漿蝕刻方法係根據申請 專利範圍第1或2項之電漿蝕刻方法,其中係對該下部 電極施加電功率值為100W〜300W的偏壓用高頻電功 率。 申請專利範圍第5項之電漿蝕刻方法係根據申請 Ο 專利範圍第3項之電漿蝕刻方法,其中係對該下部電極 施加電功率值為100W〜300W的偏壓用高頻電功率。 申請專利範圍第6項之電衆|虫刻方法係根據申請 專利範圍第1或2項之電漿蝕刻方法,其中係於蝕刻該 含Si反射防止膜前進行加工該ArF光阻之加工步驟。 申請專利範圍第7項之電漿蝕刻方法係根據申請 專利範圍第3項之電漿蝕刻方法,其中係於蝕刻該含 Si反射防止膜前進行加工該ArF光阻之加工步驟。 申請專利範圍第8項之電漿蝕刻方法係根據申請 〇 專利範圍第4項之電漿蝕刻方法,其中係於蝕刻該含
Si反射防止膜前進行加工該ArF光阻之加工步驟。 申請專利範圍第9項之電漿蝕刻方法係根據申請 專利範圍第5項之電漿蝕刻方法,其中係於蝕刻該含 Si反射防止膜前進行加工該ArF光阻之加工步驟。 申請專利範圍第10項之電漿蝕刻方法係根據申請 專利範圍第6項之電漿蝕刻方法,其中該加工步驟係以 H2氣體、或H2氣體與N2氣體、或H2氣體與Ar氣體作 5 201104742 為處理氣體來㈣處理氣 阻之電漿處理。 電永化以作用於該ArF光 申凊專利範圍第u 一漿二以:,據申請 為處理氣氣體、或馬氣體^驟係以 將4處理氣體雷將 、八r氣體作 阻之電漿處理。 是化以作用於該ArF ^ 申請專利範圍第12 專_圍第8項之電漿颠刻方Hi方法係根據申請 H2氣體、或H2氣體與%氣體^ °亥加工步驟係以 為處理氣體來將該處理氣體電將^ 2氣體與4氣體作 阻之電漿處理。 水化以作用於請ArF光 申請專利範園第13 利範圍第9項之議刻方:二方法係根據請專 迅氣體 '或%氣體與N2氣邓、中该加工步驟係以 為處理氣體來將該處理氣體化氣體作 阻之電漿處理。 化以作用於該ArF光 申請專利範圍第14項 設置於處理反應室内㈣ 4置’係具有: 理反應室内對向於該下:::===處 反應室内之處糧二構= 高頻二= 徵與二上?極之間施加高頻電功率之 、,— 在於.从形成於該基板之ArF光阻作 為遮罩亚错由該處理氣體的電漿來對位於該ArF光阻 201104742 的下層之含Si反射防止膜進行蝕刻時,係具有控制從 該處理氣體供給機構供給包含有CF系氣體及/或CHF 系氣體、CF3I氣體與氧氣之混合氣體來作為處理氣體並 從直流電源對該上部電極施加直流電壓之控制部。 申請專利範圍第15項之電腦記憶媒體,係記憶有 以電腦來動作之控制程式,其特徵在於:該控制程式係 控制電漿蝕刻裝置以在實施時進行申請專利範圍第1 至13項任一項之電漿截刻方法。 依本發明,便可提供一種能抑制ArF光阻受到損傷 (變得凹凸不平),且能以高蝕刻率及充分的選擇比來對 含矽反射防止膜(Si-ARC)進行電漿蝕刻之電漿蝕刻方 法、電漿蝕刻裝置及電腦記憶媒體。 【實施方式】 以下,針對本發明實施形態參照圖式加以說明。圖 1係將本實施形態電漿蝕刻方法中,作為被處理基板之 半導體晶圓剖面結構放大顯示之圖式。又,圖2係顯示 本實施形態電漿蝕刻裝置的結構之圖式。首先,參照圖 2針對電漿蝕刻裝置的結構加以說明。 電衆钱刻裝置係具有構成為氣密且為電接地電位 之處理反應室1。該處理反應室1為圓筒狀,由例如銘 等所構成。處理反應室1内設置有水平地支撐被處理基 板(半導體晶圓W)之迴轉台2。迴轉台2係由例如鋁等 所構成,並具有作為下部電極之功能。該迴轉台2係透 7 201104742 過絕緣板3而被支撐於導體的支撐台4。又,迴轉台2 的上方外周設置有例如以單結晶♦所形成之聚焦環5。 再者,以將迴轉台2及支樓台4的周目圍繞之方式而 設置有例如石英等所構成之圓筒狀内壁組件3a。 迴轉台2係透過第1匹配器11a而連接有第1RF電 源10a ’又’係透過第2匹配器^ 源10b。第1RF略' 佼喇乐龟
電源10a將特定^伽係用以產生電漿,而從該第1RF 電功率供給至^率(27MHz以上,例如4GMHz)的高頻 引離子(偏壓用)纟2。又,第2RF電源_係用以吸 源10a要低之/從該第2RF電源通將較第1处電 的高頻電功率定頻率(13.56MHZ叮,例如2MHz) 上方設置有针、、'S至迴轉台2。另―方面,迴轉台2的 極功能之淋對向於迴轉台2並具有作為上部電 _ 、16,淋氣頭16與迴轉台2係作為一對 f極峙部電極)而發揮功能。 迴轉台2 哄& σ 勺上面設置有用以將半導體晶圓w靜電 次丨付之靜電夾夏 ^ 、6。該靜電夾具6為在絕緣體6b之間 η δ又有電極6a 妙a w,、、去構’電極6a係連接至直流電源12。 然後猎由從直涔$ 田在从 L電源12對電極6a施加直流電壓’以利
St將半導體晶圓W吸附。 接有A # 口 4内部形成有冷媒通道4a,冷媒通道4a連 使適^媒0 口配管4b與冷媒出口配管4C。然後,藉由 τπ 4·力冷媒(例如冷卻水等)在冷媒通道4a中循環,則 1將支撐A 4 、 口 及%轉台2控制在特定的溫度。又,以將 201104742 迴轉台2等貫穿之方式設置有用以將氦氣等冷熱傳達 用氣體(背面氣體;back side gas)供給至半導體晶圓w 的内面側之背面氣體供給配管30,該背面氣體供給配 管30係連接於未圖示之背面氣體供給源。藉由該等結 構’可將迴轉台2的上面利用靜電夾具6所吸附保持之 半導體晶圓W控制在特定的溫度。 上述淋氣頭16係設置於處理反應室1的頂壁部 0 分。淋氣頭16具有本體部16a與構成電極板之上部頂 板16b ’並透過絕緣性組件45而被支承於處理反應室1 的上部。本體部16a係由導電性材料(例如表面經陽極 氧化處理之鋁)所構成,而為於其下部可自由裝卸地支 稽上部頂板16b之結構。 本體部16a的内部設置有氣體擴散室i6c,本體部 16a的底部形成有位於該氣體擴散室16c的下部處之多 個氣體通流孔16d。又’上部頂板16b係設置有與上述 氣體通流孔16d相重疊’且將該上部頂板1 %於厚度方 Ο 向貫穿之氣體導入孔16e。藉由此種結構,被供給至氣 體擴散室16c的處理氣體係經由氣體通流孔1 gd及氣體 導入孔16e而被淋浴狀地分散並供給至處理反廣室^ 内。又,本體部16a等設置有用以使冷媒循環之配管(未 圖示),可在電漿蝕刻處理中將淋氣頭16冷卻至所欲溫 度。 上述本體部16a形成有用以將處理氣體導入至氣體 擴散室16c之氣體導入口 16d。該氣體導入口 16d連接 9 201104742 有氣體供給配管15a,而該氣體供給配管15a的另一 端,則連接有用以供給蝕刻用或加工用的處理氣體之處 理氣體供給源15。氣體供給配管15a從上游侧依序設置 有流量控制器(MFC)15b及開閉閥VI。然後,從處理氣 體供給源15將用以進行電漿蝕刻的處理氣體(例如CF4 氣體、CF3I氣體與氧氣之混合氣體)經由氣體供給配管 15a而供給至氣體擴散室16c,並從該氣體擴散室16c 經由氣體通流孔16d及氣體導入孔16e而淋浴狀地分散 並供給至處理反應室1内。 上述作為上部電極之淋氣頭16係透過低通遽波器 (LPF)51而電連接於可變直流電源52〇該可變直流電源 52可利用On/Off開關53來進行供電的On/Off。可變 直流電源52的電流、電壓及On/Off開關53的On/Off 係藉由後述控制部60來控制。又,如後所述,從第1RF 電源10a、第2RF電源10b對迴轉台2施加高頻而於處 理空間產生電漿時,可依需要利用控制部60來將 On/Off開關53打開,並對作為上部電極之淋氣頭16 施加特定的直流負電壓。 以從處理反應室1的侧壁向較淋氣頭16要高的高 度位置上方延伸之方式設置有圓筒狀接地導體la。該圓 筒狀接地導體la於其上部具有頂壁。 處理反應室1的底部形成有排氣口 71,該排氣口 71係透過排氣管72而連接有排氣裝置73。排氣裝置 73具有真空幫浦,可藉由使該真空幫浦作動來將處理 10 201104742 反應室1内減壓至特定的真空度。另一方面,處理反應 室1的侧壁設置有晶圓W的搬出入口 74,該搬出入口 74設置有用以開閉該搬出入口 74之間闕75。
圖式中的付號76、77為可自由裝卸之沉積屏障。 沉積屏障76係沿著處理反應室1的内壁面設置,而具 有防止蝕刻副生物(沉積物)附著在處理反應室丨之功 能,該沉積屏障76在與半導體晶圓w大致相同高度的 位置處δ又置有直流地電接地之導電性組件 block)79,藉以防止異常放電。 上述結構的電漿蝕刻裝置係藉由控制部6〇來統括 地控制其動作。該控制部60設置有具有cpu並控制電 聚钱刻裝置的各部之製程控制器61、使用者介面62及 記憶部63。
使用者介面62係由步料理者為了管理電製 裝置而進行指令的輸人操作之鍵盤或使電漿餘刻裝置 的稼働狀況可視化地顯示之顯示器等所構成。 、 記憶部63收納有製程配方,該製程配方係記憶有 為了實現利用製程控制器61來控制電_農置; 施之各種處理的控制程式(軟體)或處理條件 : 後’依需要’藉由依來自使用者介面62的指耸广 意的製程配方從記憶部63叫出並於製程控制器, 打,則可在製程控制器61的控制下,於晉 進行所欲處理。又,控制程式或處理條件資置 方可利敎缺可以電卿行魏之電腦域媒^= 201104742 如硬碟、⑶、軟碟、半導體記憶體等)等狀態之物,抑 或從其他裝置,例如透過專用回線來隨時傳送而可 利用。 、 利用上述結構之電漿蝕刻裝置來加以說明對形成 於半‘體as圓W的有機膜進行電漿钱刻之步驟順序。 首先,打開閘閥75,並藉由搬送自動機(未圖示)等來將 半導體晶圓W經由裝載室(未圖示)而從搬出入口 74搬 入至處理反應室1内並載置於迴轉台2上。之後,將搬 送自動機退到處理反應室1外並關閉閘閥75。然後, 藉由排氣裝置73的真空幫浦透過排氣口 71來將處理反 應室1内排氣。 處理反應室1内達到特定的真空度後,從處理氣體 供給源15將特定的處理氣體(蝕刻氣體)導入至處理^ 應室1内,並將處理反應室1内維持在特定壓力,例如 6.7Pa(5〇mT()rr),而在該狀態下從第贈電源收口 率例如4〇MHz的高頻電功率供給至迴轉台2。又,…、 了吸引離子而從第2RF電源iQb將例如頻編^ 的高周波電功率(偏壓用)供給至迴轉台二2.= 流電源12將特定的直此扦,攸直 二;=至:^ 高頻電功由對下部電極(迴轉台2)施加 空間會產生放電,择野存在有半導體晶圓W的處理 错由因放電所形成之處理氣體的電漿 12 201104742 來對形成於半導體晶圓w上之含矽反射防止膜(Si ARC) 等進行餘刻處理。 此處,如上所述,由於可在電漿處理中對淋氣頭 W施加直流電壓,故具有以下的效果。亦即,依製程 的不同而有要求電漿需為高電子密度且低離二= 佾況。在此種情況下,若利用直流電壓,並藉由抑制 打入半導體晶圓w的離子能量且增加電漿的電子密 度則可Φζ向成為半導體晶圓w的餘刻對象之薄膜= 蝕刻率、降低對設置於蝕刻對象的上部作為遮罩的Γ 膜的濺鍍率並提高選擇性。 焉 ^然後,結束上述蝕刻處理,停止高頻電功率的供 給、直流電壓的供給及處理氣體的供給,以和上述步騍 順序相反的步驟順序將半導體晶圓w從處理反應’ 内搬出。 心 1 接下來,參照圖1說明本實施形態之電漿蝕 法。 乃 雕圖l(a)、(b)係將本實施形態中作為被處理基板的半 導體晶圓W的主要部份結構放大顯示之圖式。如圖1(a) 所示,半導體晶圓W形成有作為被蝕刻膜之例如有= 膜101(厚度例如為200nm),該有機膜1〇1的上層形成 2含石夕反射防止膜(Si-ARC)l〇2(厚度例如為40nm):讀 含石夕反射防止膜⑸-ARC) 102係由例如含Si率為43%= 右之有機膜(塗㈣)所誠。紐,該含㈣射防止ς (Si-ARC)102上形成有ArF光阻膜1〇3(厚度例如為 13 201104742 100nm)〇ArF光阻膜l〇3形成有利用精密圖片轉印步驟 而被圖形化之特定形狀的開口 1 〇4。 將上述構造之半導體晶圓W收納於圖2所示之裳 置的處理反應室1内並載置在迴轉台2,由圖1(a)所示 之狀態,以ArF光阻膜103作為遮罩來將含矽反射防止 膜(Si-ARC)102蝕刻而成為圖1(b)之狀態。又,實際上 係由圖1(b)之狀態將作為被钱刻膜之有機膜1〇1钱刻。 本貫施形態中,在對上述含矽反射防止膜 (Si-ARC)102進行電漿蝕刻時,係使用包含有cf系氣 體及/或CHF系氣體、cr][氣體、氧氣之混合氣體來作 為處理氣體,並從可變直流電源52對作為上部電極之 淋氣頭16施加特定的負直流電壓。 施加在上述淋氣頭16的負直流電壓的電壓值較佳 為-1000V〜-300V的範圍,更佳為-900V〜-600V。 該處理氣體較佳地係使用例如cf4氣體、CF3I氣體 與氧氣之混合氣體。此時,CF3I氣體流量相對於CF4 氣體流量與CFd氣體流量加總的比(cf3i氣體流量/(cf4 氣體流量+CF31氣體流量))較佳為0.1〜0.3的範圍。又, 〇2氣體流量較佳為處理氣體總流量的1〜3%左右,更佳 為約2%左右。 又’在進行上述電漿蝕刻時,較佳地係從第2RF 電源10b對作為下部電極之迴轉台2供給吸引離子用 (偏壓用)的高頻電功率,該吸引離子用(偏壓用)的高頻 電功率的電功率值較佳為1〇〇w〜3〇〇w左右。 14 201104742 _ _______ . ♦ + - - - ·….…..冊 _ ' 實施例1係使用圖2所示之電漿蝕刻裴置,並利用 以下所示製程配方來對圖1所示之構造的半導體晶圓 實施上述含矽反射防止骐(Si-ARC)102的電漿蝕刻^二 步驟。 又,以下所示之實施例丨的處理製程配方係從控制 部60的記憶部63讀取並鍵入製程控制器61,而藉由 根據控制程式使製程控制器61來控制電漿触刻裝置的 各部,以實行所讀取處理製程配方所設定的電漿蝕刻處 〇 理步驟。 處理氣體:CF4/CF3I/〇2=225/25/5secm 壓力.6-7Pa(50mTon·)
高頻電功率(HF/LF) : 400/1 〇〇W
直流電壓:-900V 上述實施例1之ArF光阻的蝕刻率為48 5nm/min, 含石夕反射防止膜(Si-ARC)的餘刻率為i20.0nm/min,選 擇比(含石夕反射防止膜(Si-ARC)的姓刻率/Arf光阻的餘 ❹ 刻率)為2.5。又,利用SEM所觀察蝕刻後ArF光阻狀 態的凹凸不平較少’ CD(線寬)為71.7nm,LWR(Line Width Roughness)為3.8nm。圖3係顯示利用實施例j 之SEM所攝得之ArF光阻的剖面狀態⑷與上面狀態(b) 之放大照片。 比較例係以下述條件來實施含矽反射防止膜 (Si-ARC)l〇2的電漿蝕刻處理步驟。 處理氣體:CF4/O2=250/5sccm 15 201104742 壓力:10Pa(75mTorr)
高頻電功率(HF/LF) : 400/0W 直流電壓:0V 上述比較例之ArF光阻的蝕刻率為65 〇nm/min, 含矽反射防止膜(Si-ARC)的蝕刻率為5〇 5nm/min,選擇 比(含矽反射防止膜(Si-ARC)的蝕刻率/ArF光阻的蝕刻 率)為0.8。又,利用SEM來觀察姓刻後ArF光阻狀態 並不那麼凹凸不平,但CD(線寬)為47.9nm,LWR(Line Width Roughness)為4.3nm ’而ArF光阻被大量蝕刻且 其殘膜量較少。圖4係顯示利用比較例之SEM所攝得 之ArF光阻的剖面狀態(a)與上面狀態(b)之放大照片。 如上所述,在實施例中,相較於比較例,含矽反射 防止膜(Si_ARC)的敍刻率較南,且選擇比亦較高,而 ArF光阻的LWR較少。又,Ai.F光阻的CD(線寬)亦較 大。 又’在上述實施例1中,在蝕刻含矽反射防止膜 (Si-ARC)前’係加上ArF光阻的加工步驟而進行實施例 2的電漿蚀刻。該實施例2之加工步驟係利用例如,包 含有氫氣之加工氣體(H2氣體、H2氣體與N2氣體、h2 氣體與Ar氣體等),來使該電漿作用於ArF光阻,藉以 進行ArF光阻的表面改質與表面平順化。該實施例2 中,係以下述條件實施加工步驟。 處理氣體.H2/N2=450/450sccm 壓力:13.3Pa(100mTorr) 16 201104742 高頻電功率(HF/LF) : 200/0W 直流電壓:ον 纟上述加工步驟後進行與實施例1相同的電漿蝕 刻。在該實施例2中’利用SEM所觀察钱刻後ArF光 阻狀態的凹凸不平較少’ CD(線寬)為69 4腿,隱卿狀 Width Roughness)為3.2聰,更加改善了實施例
1 之 LWR 值。
❹ ^上述各施例中,在進行電漿蝕刻時,係從第2RF 电源10b對迴轉台(下部電極)2施加吸引離子用⑽壓用) 的高頻電功率。此係為了提高含石夕反射防止膜(si ARc) 的,刻率圖5係以姓刻率(nm/min)為縱轴,以偏壓 ^『頻黾功率值(w)為橫軸,而顯示在以下之條件(1)的 并=敍备、件中,只改變偏壓用高頻電功率時,各個高 率值之姓刻率的調查結果。如同圖所示,偏壓用 功率值愈高,則含矽反射防止膜(Si—ARC)的蝕刻 二问。此係與對淋氣頭(上部電極)16施加-600V的直 ϋ &電_情況完全相同。 條件U): 處理氧體.CF4/CF3I/〇2=225/25/5sccm $力.10.0Pa(75mTorr)
南頻電功率(HF/LF) : 400/(改變)W 直流電壓:〇V 士 另一方面’如上所述,提高偏壓用高頻電功率值 ^ ’ ArF光阻會變得凹凸不平。又,如以選擇比為縱軸, 17 201104742 以偏壓用高頻電功率值(W)為橫軸之圖6所示,藉由對 淋氣頭(上部電極)16施加-600V的直流電壓,可較未施 加直流電壓的情況更加提高選擇比。 以選擇比為縱軸,以負直流電壓值(絕對值)(v)為槔 軸,而將上述般施加在淋氣頭(上部電極)16之負直流電 壓與選擇比的關係之調查結果(LF=2〇〇w ,其他直流電 壓以外的蝕刻條件則與條件(丨)相同)顯示於圖7之圖 表。如圖7所示,負直流電壓值(絕對值)愈高,則選擇 比愈咼。然而,當電壓值超過-1000V時,ArF光阻會產 生波浪狀(Wiggling)。因此,施加在淋氣頭(上部電極曰口 6 的直流電壓較佳為-ι_ν〜-3〇〇ν的範®,更佳為 -900V〜-600V的範圍。 電功率:為了;::=, 、 汁而蝕刻率,較佳為100W以上,在 範圍内可獲得充分的選擇比,並且為 郎,Ί變得凹凸不平,較佳為300W以下。亦 偏I用阿頻%功率較佳為觸的範圍。 CF 率為縱抽,以卿氣體流量相對於 /(cV^ :::⑸氣體流量加總的比(CF31氣體流量 氣體的流量比與_^ =))為Τ’而顯示化1 氣體流量比以外的::::之:查結果。又,_ LF,0W,直流電^刻條件皆與條件⑴相同(但 擇比為縱轴,以心=::又’同樣地圖9係以選 U乳體、級$相對於eh氣體流量與 18 201104742 CFSI氣體流量加總的比(C]p3i氣體流量/(CF4氣體流量 +CF3I氣體流量))為橫軸,而顯示cf3I氣體的流量比與 選擇比的關係之調查結果。如該等圖8、圖9所示,CF3I 氣體的流量比愈高’則蝕刻率及選擇比皆愈低。因此, CFJ氣體的流量比較佳為〇 3以下。 另一方面,如圖10所示,當CF3I的流量比低時, 便會發生ArF光阻的凹凸不平。又,圖1〇係顯示cf3I 的流里自左侧起依序為Osccm⑻、19sccm(b)、25sccm(c) 時之利用SEM所攝得的放大照片。因此,cf3I氣體的 流量比較佳為0.1以上。 由以上可知’ CFaI氣體流量相對於CF4氣體流量與 CFj氣體流量加總的比(cf3I氣體流量/(CF4氣體流量 +CF3I氣體流量))較佳為〇.1〜〇.3(1〇%〜30%)的範圍。 圖11之圖表係以蝕刻率為縱軸、壓力為橫軸,而 顯示壓力與蝕刻率的關係之調查結果。又,圖12係以 選擇比為縱軸、壓力為橫軸,而顯示壓力與選擇比的關 Ο 係之調查結果。又,除了壓力以外的蝕刻條件皆與條件 (1)相同(但LF=200W’直流電壓=_600V)。如該等圖11、 圖12所示,壓力愈低,則蝕刻率及選擇比皆愈高。因 此,壓力較佳為 4.0Pa(30mToir)〜13.3Pa(100mTorr)的範 圍,更佳為約6.7Pa(50mTorr)左右。 如以上所說明,本實施形態可抑制ΑΓρ光阻受到損 傷(變得凹凸不平),並以高蝕刻率來對含矽反射防止犋 (Si-ARC)進行電漿蝕刻。又,由於選擇比較高,故亦可 19 201104742 導入如使ArF光阻的線畜
光阻的加工步驟。又聰細、改善粗财之ArF 叙明未限定於上述實施形雊及 貝施例,而可做各種變化。 〜 【圖式簡單說明】 圖1 (a)圖1 (b)係顯示本發明電 形惣的半導體晶圓剖面結構之圖式。 漿蝕刻方法之實施 圖2係顯示本發明實施形態之 略結構之圖式。 〜 電漿蝕刻裝置的概 圖3⑻、圖3(b)係顯示實施例1之ArF光阻的狀離 之顯微鏡照片。 ; 圖4(a)、圖綱係顯示比較例之ArF光阻的狀態之 顯微鏡照片。 圖5係顯示偏壓用高頻電功率與蝕刻率的關係之 圖表。 圖6係顯不偏壓用高頻電功率與選擇比的關係之 圖表。 圖7係顯示直流電壓與選擇比的關係之圖表。 圖8係顯不CFJ流量比與蝕刻率的關係之圖表。 圖9係顯示CFSI流量比與選擇比的關係之圖表。 圖10(a)〜圖l〇(c)係顯示因cf3I流量的差異所造成 ArF光阻狀態的差異之顯微鏡照片。 圖11係顯示壓力與蝕刻率的關係之圖表。 圖12係顯示壓力與選擇比的關係之圖表。 20 201104742 【主要元件符號說明】
VI 開閉閥 W 半導體晶圓 1 處理反應室 la 接地導體 2 迴轉台 3 絕緣板 3a 内壁組件 4 支撐台 4a 冷媒通道 4b 冷媒入口配管 4c 冷媒出口配管 5 聚焦環 6 靜電夾具 6a 電極 6b 絕緣體 10a 第1RF電源 10b 第2RF電源 11a 第1匹配器 lib 第2匹配器 12 直流電源 15 處理氣體供給源 15a 氣體供給配管 21 201104742 15b 流量控制器(MFC) 16 淋氣頭 16a 本體部 16b 上部頂板 16c 氣體擴散室 16d 氣體通流孔 16e 氣體導入孔 30 背面氣體供給配管 45 絕緣性組件 51 低通濾波器(LPF) 52 可變直流電源 53 On/Off開關 60 控制部 61 製程控制器 62 使用者介面 63 記憶部 71 排氣口 72 排氣管而 73 排氣裝置 74 搬出入口 75 閘閥 76、77 沉積屏障 79 導電性組件(GND block) 101 有機膜 22 201104742 102 含矽反射防止膜(Si-ARC) 103 ArF光阻膜 104 開口
23

Claims (1)

  1. 201104742 七、申請專利範圍: 1. 一種電漿_ i虫刻方法,係利用電聚钱刻裝置而以形成 於基板之ArF光阻作為遮罩並藉由處理氣體的電 聚來對位於該ArF光阻的下層之含Si反射防止膜 進行蝕刻,該電漿蝕刻裝置具有:設置於處理反應 室内而載置有該基板之下部電極、於該處理反應室 内對向於該下部電極而設置之上部電極、將該處理 氣體供給至該處理反應室内之處理氣體供給機 構、以及於該下部電極與該上部電極之間施加高頻 電功率之南頻電源,其特徵在於. 係使用包含有CF系氣體及/或CHF系氣體、 CF3I氣體與氧氣之混合氣體來作為該處理氣體,並 對該上部電極施加直流電壓。 2. 如申請專利範圍第1項之電漿蝕刻方法,其中對該 上部電極所施加之直流電壓的電壓值為 -1000V〜-300V的範圍。 3. 如申請專利範圍第1或2項之電漿蝕刻方法,其中 該處理氣體為CF4氣體、CF3I氣體與氧氣之混合氣 體; CF3I氣體流量相對於CF4氣體流量與CF3I氣 體流量的合計的比(CF3I氣體流量/(CF4氣體流量 +CF3I氣體流量))為0_1〜0.3的範圍。 4. 如申請專利範圍第1或2項之電漿蝕刻方法,其中 係對該下部電極施加電功率值為100W〜300W的偏 24 201104742 壓用高頻電功率。 5. 如申請專利範圍第3項之電漿蝕刻方法,其中係對 該下部電極施加電功率值為100W〜300W的偏壓用 高頻電功率。 6. 如申請專利範圍第1或2項之電漿蝕刻方法,其中 係於姓刻該含Si反射防止膜前進行加工該ArF光 阻之加工步驟。 7. 如申請專利範圍第3項之電漿蝕刻方法,其中係於 蝕刻該含Si反射防止膜前進行加工該ArF光阻之 ® 加工步驟。 8. 如申請專利範圍第4項之電漿蝕刻方法,其中係於 Ί虫刻該含Si反射防止膜前進行加工該ArF光阻之 加工步驟。 9. 如申請專利範圍第5項之電漿蝕刻方法,其中係於 蝕刻該含Si反射防止膜前進行加工該ArF光阻之 加工步驟。 10. 如申請專利範圍第6項之電漿蝕刻方法,其中該加 Q 工步驟係以H2氣體、或H2氣體與N2氣體、或H2 氣體與Ar氣體作為處理氣體來將該處理氣體電漿 化以作用於該ArF光阻之電漿處理。 11. 如申請專利範圍第7項之電漿蝕刻方法,其中該加 工步驟係以H2氣體、或H2氣體與N2氣體、或H2 氣體與Ar氣體作為處理氣體來將該處理氣體電漿 化以作用於該ArF光阻之電漿處理。 25 201104742 12. 如申請專利範圍第8項之電漿蝕刻方法,其中該加 工步驟係以H2氣體、或H2氣體與N2氣體、或H2 氣體與Ar氣體作為處理氣體來將該處理氣體電漿 化以作用於該ArF光阻之電漿處理。 13. 申請專利範圍第9項之電漿蝕刻方法,其中該加工 步驟係以H2氣體、或H2氣體與N2氣體、或氏氣 體與Ar氣體作為處理氣體來將該處理氣體電漿化 以作用於該ArF光阻之電漿處理。 14. 一種電漿蝕刻裝置,係具有:設置於處理反應室内 而載置有基板之下部電極、於該處理反應室内對向 於該下部電極而設置之上部電極、將處理氣體供給 至該處理反應室内之處理氣體供給機構、以及於該 下部電極與該上部電極之間施加高頻電功率之高 頻電源;其特徵在於: 以形成於該基板之ArF光阻作為遮罩並藉由 該處理氣體的電漿來對位於該ArF光阻的下層之 含Si反射防止膜進行蝕刻時,係具有控制從該處 理氣體供給機構供給包含有CF系氣體及/或CHF 系氣體、CF3I氣體與氧氣之混合氣體來作為處理氣 體並從直流電源對該上部電極施加直流電壓之控 制部。 15. —種電腦記憶媒體,係記憶有以電腦來動作之控制 程式,其特徵在於: 該控制程式係控制電漿蝕刻裝置以在實施時 26 201104742 進行申請專利範圍第1至13項任一項之電漿蝕刻 方法。
    27
TW099106056A 2009-03-04 2010-03-03 Plasma etching method, plasma etching apparatus and computer-readable storage medium TW201104742A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009050389A JP2010205967A (ja) 2009-03-04 2009-03-04 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体

Publications (1)

Publication Number Publication Date
TW201104742A true TW201104742A (en) 2011-02-01

Family

ID=42677303

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099106056A TW201104742A (en) 2009-03-04 2010-03-03 Plasma etching method, plasma etching apparatus and computer-readable storage medium

Country Status (5)

Country Link
US (1) US20100224587A1 (zh)
JP (1) JP2010205967A (zh)
KR (1) KR20100100632A (zh)
CN (1) CN101826435B (zh)
TW (1) TW201104742A (zh)

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101525234B1 (ko) * 2011-03-03 2015-06-01 파나소닉 주식회사 반도체 기판의 표면 에칭 장치, 및 그것을 이용하여 표면에 요철 형상이 형성된 반도체 기판을 제조하는 방법
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6226668B2 (ja) * 2012-09-25 2017-11-08 東京エレクトロン株式会社 プラズマ処理方法
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6017928B2 (ja) * 2012-11-09 2016-11-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN104241088B (zh) * 2013-06-09 2017-07-14 中芯国际集成电路制造(上海)有限公司 条形结构的形成方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6243722B2 (ja) * 2013-12-10 2017-12-06 東京エレクトロン株式会社 エッチング処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6200849B2 (ja) * 2014-04-25 2017-09-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびドライエッチング方法
US9368368B2 (en) * 2014-07-21 2016-06-14 Tokyo Electron Limited Method for increasing oxide etch selectivity
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6438831B2 (ja) * 2015-04-20 2018-12-19 東京エレクトロン株式会社 有機膜をエッチングする方法
JP6498022B2 (ja) * 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6817692B2 (ja) * 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109473331B (zh) * 2017-09-08 2022-11-25 北京北方华创微电子装备有限公司 腔室屏蔽装置和半导体处理腔
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN108046737A (zh) * 2018-02-24 2018-05-18 航天慧能(江苏)环境工程有限公司 一种含有重金属危险废物的处理方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
CN102318037B (zh) * 2007-12-21 2014-03-05 朗姆研究公司 利用arc层打开的cd偏置负载控制

Also Published As

Publication number Publication date
CN101826435A (zh) 2010-09-08
US20100224587A1 (en) 2010-09-09
CN101826435B (zh) 2012-05-09
JP2010205967A (ja) 2010-09-16
KR20100100632A (ko) 2010-09-15

Similar Documents

Publication Publication Date Title
TW201104742A (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
TWI467650B (zh) Plasma etch methods and computer-readable memory media
TWI508164B (zh) Manufacturing method of semiconductor device
KR101223819B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
TWI446436B (zh) Plasma etching method, plasma etching device, control program and computer memory media
TWI545646B (zh) 臨界尺寸偏差降低之含矽抗反射塗布層之蝕刻方法
TWI405259B (zh) A plasma etch method and a computer readable memory medium
TWI525700B (zh) Plasma etching method, a plasma etching apparatus and a computer storage medium
TWI697046B (zh) 蝕刻方法
TWI550707B (zh) The processing method of the object to be processed, and the computer-readable memory medium
KR101737021B1 (ko) 플라즈마 처리 방법 및 기억 매체
TW200952064A (en) Plasma etching method and computer-readable storage medium
JP2007194284A (ja) プラズマ処理方法、プラズマ処理装置、及び記憶媒体
TW200818312A (en) Plasma-etching method and computer-readable storage medium
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
TW201403705A (zh) 半導體裝置之製造方法及電腦記錄媒體
JP2007234770A (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
TW200941574A (en) CD bias loading control with ARC layer open
TW201717300A (zh) 蝕刻方法
TW200913055A (en) Plasma etching method, plasma etching apparatus and storage medium
KR20140021610A (ko) 풀 메탈 게이트 구조를 패터닝하는 방법
JP4827567B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
TW201933477A (zh) 蝕刻方法
TWI544543B (zh) A manufacturing method of a semiconductor device, and a computer recording medium
TW202141623A (zh) 使用氟碳化合物電漿處理工件