CN101826435A - 等离子蚀刻方法、等离子蚀刻装置及计算机存储介质 - Google Patents

等离子蚀刻方法、等离子蚀刻装置及计算机存储介质 Download PDF

Info

Publication number
CN101826435A
CN101826435A CN201010003425A CN201010003425A CN101826435A CN 101826435 A CN101826435 A CN 101826435A CN 201010003425 A CN201010003425 A CN 201010003425A CN 201010003425 A CN201010003425 A CN 201010003425A CN 101826435 A CN101826435 A CN 101826435A
Authority
CN
China
Prior art keywords
gas
mentioned
plasma etching
arf photoresist
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201010003425A
Other languages
English (en)
Other versions
CN101826435B (zh
Inventor
武川贵仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101826435A publication Critical patent/CN101826435A/zh
Application granted granted Critical
Publication of CN101826435B publication Critical patent/CN101826435B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明提供等离子蚀刻方法、等离子蚀刻装置及计算机存储介质。该等离子蚀刻方法能够在抑制ArF光致抗蚀剂的损伤(表面粗糙)的同时、以较高的蚀刻速率及充分的选择比对含有硅的防反射膜(Si-ARC)进行等离子蚀刻。该等离子蚀刻方法将形成于基板上的ArF光致抗蚀剂(103)作为掩模,利用处理气体的等离子体对位于ArF光致抗蚀剂(103)的下层的含有Si的防反射膜(102)进行蚀刻,其中,作为处理气体,使用含有CF类气体和/或CHF类气体、CF3I气体、氧气的混合气体,而且,对上部电极施加直流电压。

Description

等离子蚀刻方法、等离子蚀刻装置及计算机存储介质
技术领域
本发明涉及将ArF光致抗蚀剂作为掩模来蚀刻形成于被处理基板的、含有Si的防反射膜的等离子蚀刻方法、等离子蚀刻装置及计算机存储介质。
背景技术
以往,在半导体装置的制造工序中,借助光致抗蚀剂掩模进行等离子蚀刻处理,将硅氧化膜等被蚀刻膜形成为目标图案。另外,在该等离子蚀刻中,为了应对电路图案的微细化,大多采用利用波长更短的光进行曝光的ArF光致抗蚀剂。
在将上述ArF光致抗蚀剂用作掩模来进行等离子蚀刻的情况下,由于ArF光致抗蚀剂的抗等离子性较低,因此,提出有用于减轻等离子体对ArF光致抗蚀剂的损伤的提案。作为该技术公知有这样的技术,即,在蚀刻形成于ArF光致抗蚀剂下层的防反射膜时,采用由含有CF4等CF类气体和O2气体的混合气体等构成的蚀刻气体,以低压进行等离子蚀刻(例如参照专利文献1)。
专利文献1:日本特开2006-32721号公报
在将上述ArF光致抗蚀剂作为掩模的等离子蚀刻中,在抑制ArF光致抗蚀剂的损伤(表面粗糙)时,存在无法获得较高的蚀刻速率及充分的选择比这样的问题。特别是,以往不存在能够在抑制ArF光致抗蚀剂的损伤(表面粗糙)的同时、以较高的蚀刻速率及充分的选择比对含有硅的防反射膜(Si-ARC)进行等离子蚀刻的技术,期望开发该技术。
发明内容
本发明即是应对上述以往情况而做成的,其目的在于提供能够在抑制ArF光致抗蚀剂的损伤(表面粗糙)的同时、以较高的蚀刻速率及充分的选择比对含有硅的防反射膜(Si-ARC)进行等离子蚀刻的等离子蚀刻方法、等离子蚀刻装置及计算机存储介质。
技术方案1的等离子蚀刻方法使用一种等离子蚀刻装置,该等离子蚀刻装置包括配置在处理室内且载置基板的下部电极、与上述下部电极相对地配置在上述处理室内的上部电极、用于向上述处理室内供给处理气体的处理气体供给机构、用于对上述下部电极与上述上部电极之间施加高频电力的高频电源,使用该等离子蚀刻装置将形成于上述基板上的ArF光致抗蚀剂作为掩模,利用上述处理气体的等离子体对位于上述ArF光致抗蚀剂的下层的、含有Si的防反射膜进行蚀刻,该等离子蚀刻方法的特征在于,作为上述处理气体,使用含有CF类气体和/或CHF类气体、CF3I气体、氧气的混合气体,而且,对上述上部电极施加直流电压。
根据技术方案1所述的等离子蚀刻方法,技术方案2的等离子蚀刻方法的特征在于,对上述上部电极施加的直流电压的电压值的范围为-1000V~-300V。
根据技术方案1或2所述的等离子蚀刻方法,技术方案3的等离子蚀刻方法的特征在于,上述处理气体为CF4气体、CF3I气体和氧气的混合气体,CF3I气体流量相对于CF4气体流量和CF3I气体流量之和的比(CF3I气体流量/(CF4气体流量+CF3I气体流量))为0.1~0.3。
根据技术方案1~3中任一项所述的等离子蚀刻方法,技术方案4的等离子蚀刻方法的特征在于,对上述下部电极施加电力值为100W~300W的偏压用的高频电力。
根据技术方案1~4中任一项所述的等离子蚀刻方法,技术方案5的等离子蚀刻方法的特征在于,在对上述含有Si的防反射膜进行蚀刻之前,进行处理上述ArF光致抗蚀剂的处理工序。
根据技术方案5所述的等离子蚀刻方法,技术方案6的等离子蚀刻方法的特征在于,上述处理工序是将H2气体、或者H2气体和N2气体、或者H2气体和Ar气体作为处理气体,将该处理气体等离子化后作用于上述ArF光致抗蚀剂的等离子处理。
技术方案7是一种等离子蚀刻装置,其包括配置在处理室内且载置基板的下部电极、与上述下部电极相对地配置在上述处理室内的上部电极、用于向上述处理室内供给处理气体的处理气体供给机构、用于对上述下部电极与上述上部电极之间施加高频电力的高频电源,其特征在于,包括控制部,该控制部进行控制,使得在将形成于上述基板上的ArF光致抗蚀剂作为掩模、利用上述处理气体的等离子体对位于上述ArF光致抗蚀剂的下层的、含有Si的防反射膜进行蚀刻时,自上述处理气体供给机构供给作为处理气体的含有CF类气体和/或CHF类气体、CF3I气体、氧气的混合气体,而且,自直流电源对上述上部电极施加直流电压。
技术方案8是存储有在计算机上工作的控制程序的计算机存储介质,其特征在于,上述控制程序控制等离子蚀刻装置,使得等离子蚀刻装置在执行控制程序时进行技术方案1~6中任一项所述的等离子蚀刻方法。
采用本发明,能够提供在抑制ArF光致抗蚀剂的损伤(表面粗糙)的同时、能够以较高的蚀刻速率及充分的选择比对含有硅的防反射膜(Si-ARC)进行等离子蚀刻的等离子蚀刻方法、等离子蚀刻装置及计算机存储介质。
附图说明
图1是表示本发明的等离子蚀刻方法的实施方式的半导体晶圆的截面构造的图。
图2是表示本发明的实施方式的等离子蚀刻装置的概略构造的图。
图3是表示实施例1的ArF光致抗蚀剂的状态的显微镜照片。
图4是表示比较例的ArF光致抗蚀剂的状态的显微镜照片。
图5是表示偏压用高频电力与蚀刻速率的关系的坐标图。
图6是表示偏压用高频电力与选择比的关系的坐标图。
图7是表示直流电压与选择比的关系的坐标图。
图8是表示CF3I流量比与蚀刻速率的关系的坐标图。
图9是表示CF3I流量比与选择比的关系的坐标图。
图10是表示因CF3I流量的不同导致ArF光致抗蚀剂的状态不同的显微镜照片。
图11是表示压力与蚀刻速率的关系的坐标图。
图12是表示压力与选择比的关系的坐标图。
具体实施方式
下面,参照附图说明本发明的实施方式。图1放大地表示本实施方式的等离子蚀刻方法的作为被处理基板的半导体晶圆的截面构造。另外,图2表示本实施方式的等离子蚀刻装置的构造。首先,参照图2说明等离子蚀刻装置的构造。
等离子蚀刻装置具有气密地构成的、做成电气接地电位的处理室1。该处理室1做成圆筒状,例如由铝等构成。在处理室1内设有载置台2,该载置台2水平地支承作为被处理基板的半导体晶圆W。载置台2例如由铝等构成,起到下部电极的作用。该载置台2借助绝缘板3支承于成为导体的支承台4。在载置台2的上方外周还设有例如由单晶硅形成的聚焦环5。并且,以围绕载置台2及支承台4周围的方式设有例如由石英等构成的圆筒状的内壁构件3a。
在载置台2上,通过第1匹配器11a连接有第1RF电源10a,而且,通过第2匹配器11b连接有第2RF电源10b。第1RF电源10a用于产生等离子体,自该第1RF电源10a向载置台2供给规定频率(27MHz以上,例如40MHz)的高频电力。另外,第2RF电源10b用于引入离子(偏压用),自该第2RF电源10b向载置台2供给低于第1RF电源10a的规定频率(13.56MHz以下,例如2MHz)的高频电力。另一方面,在载置台2的上方,与载置台2平行且相对地设有起到上部电极的作用的簇射头16,簇射头16和载置台2起到一对电极(上部电极和下部电极)的作用。
在载置台2的上表面设有用于静电吸附半导体晶圆W的静电吸盘6。该静电吸盘6是通过在绝缘体6b之间插入电极6a而构成的,在电极6a上连接有直流电源12。于是,通过自直流电源12对电极6a施加直流电压,利用库仑力吸附半导体晶圆W。
在支承台4的内部形成有制冷剂流路4a,在制冷剂流路4a上连接有制冷剂入口配管4b、制冷剂出口配管4c。于是,通过使适当的制冷剂、例如冷却水等流经制冷剂流路4a进行循环,能够将支承台4及载置台2控制在规定温度。另外,以贯穿载置台2等的方式设有用于向半导体晶圆W的背面侧供给氦气等冷热传导用气体(后侧气体)的后侧气体供给配管30,该后侧气体供给配管30连接于未图示的后侧气体供给源。利用这些构造,能够将由静电吸盘6吸附保持在载置台2的上表面的半导体晶圆W控制在规定温度。
上述簇射头16设置在处理室1的顶壁部分。簇射头16包括主体部16a和作为电极板的上部顶板16b,借助绝缘性构件45支承在处理室1的上部。主体部16a由导电性材料、例如表面被阳极氧化处理后的铝构成,能够在其下部支承上部顶板16b,该上部顶板16b能够相对于主体部16a装卸自由。
在主体部16a的内部设有气体扩散室16c,以位于该气体扩散室16c的下部的方式在主体部16a的底部形成有许多个气体流通孔16d。另外,在上部顶板16b上,以沿厚度方向贯穿该上部顶板16b且与上述气体流通孔16d重合的方式设有气体导入孔16e。利用该构造,被供给到气体扩散室16c的处理气体通过气体流通孔16d及气体导入孔16e,以簇射状分散地被供给到处理室1内。在主体部16a等中还设有用于使制冷剂循环的未图示的配管,在等离子蚀刻处理过程中能够将簇射头16冷却到目标温度。
在上述主体部16a中形成有用于向气体扩散室16c导入处理气体的气体导入口16d。在该气体导入口16d上连接有气体供给配管15a,在该气体供给配管15a的另一端连接有用于供给蚀刻用或者处理用的处理气体的处理气体供给源15。在该气体供给配管15a上,从上游侧按顺序设有质量流量控制器(MFC)15b及开闭阀V1。于是,作为用于等离子蚀刻的处理气体,例如CF4气体、CF3I气体和氧气的混合气体自处理气体供给源15经由气体供给配管15a被供给到气体扩散室16c,自该气体扩散室16c经由气体流通孔16d及气体导入孔16e以簇射状分散地被供给到处理室1内。
在上述作为上部电极的簇射头16上,通过低通滤波器(LPF)51电连接有可变直流电源52。该可变直流电源52利用通-断开关53能够进行供电的通断。可变直流电源52的电流、电压以及通-断开关53的通断利用后述的控制部60来控制。另外,如后所述,自第1RF电源10a、第2RF电源10b对载置台2施加高频电力而在处理空间中产生等离子体时,根据需要,利用控制部60使通-断开关53接通,对作为上部电极的簇射头16施加规定的直流负电压。
以从处理室1的侧壁延伸到簇射头16的高度位置的上方的方式设有圆筒状的接地导体1a。该圆筒状的接地导体1a在其上部具有顶壁。
在处理室1的底部形成有排气口71,在该排气口71上,通过排气管72连接有排气装置73。排气装置73具有真空泵,能够通过使该真空泵工作而将处理室1内减压至规定的真空度。另一方面,在处理室1的侧壁设有晶圆W的输入输出口74,在该输入输出口74上设有打开或关闭该输入输出口74的闸阀75。
图中附图标记76、77是装卸自由的沉积屏蔽层。沉积屏蔽层76沿着处理室1的内壁面设置,具有防止蚀刻副产物(沉积物)附着于处理室1的作用,在该沉积屏蔽层76的与半导体晶圆W大致相同的高度位置设有DC接地连接的导电性构件(GND模块)79,由此防止异常放电。
上述构造的等离子蚀刻装置利用控制部60总体控制其动作。在该控制部60中设有用户接口62、存储部63、以及具有CPU且控制等离子蚀刻装置的各部的工艺控制器61。
用户接口62由用于工序管理者为了管理等离子蚀刻装置而进行输入命令操作的键盘、可视地显示等离子蚀刻装置的运转状况的显示器等构成。
在存储部63中装有制程程序,该制程程序中存储有用于利用工艺控制器61的控制实现由等离子蚀刻装置执行的各种处理的控制程序(软件)、处理条件数据等。于是,根据需要,利用来自用户接口62的指示等从存储部63调出任意的制程程序而使工艺控制器61执行该制程程序,从而,在工艺控制器61的控制下利用等离子蚀刻装置进行目标处理。另外,控制程序、处理条件数据等制程程序也可以利用容纳于能够由计算机读取的计算机存储介质(例如硬盘、CD、软磁盘、半导体存储器等)等中的状态的构件,或者从其他装置例如通过专用线路随时传送而在线利用。
说明利用这样构成的等离子蚀刻装置对形成于半导体晶圆W的有机膜等进行等离子蚀刻的程序。首先,闸阀75打开,半导体晶圆W利用未图示的输送机器人等经由未图示的加载互锁真空室从输入输出口74被输入到处理室1内,载置于载置台2上。之后,使输送机器人退避到处理室1外,关闭闸阀75。然后,利用排气装置73的真空泵通过排气口71对处理室1内排气。
在处理室1内成为规定的真空度之后,自处理气体供给源15向处理室1内导入规定的处理气体(蚀刻气体),处理室1内保持为规定的压力、例如6.7Pa(50mTorr),在该状态下,自第1RF电源10a向载置台2供给频率为例如40MH z的高频电力。另外,为了引入离子,自第2RF电源10b向载置台2供给频率为例如2.0MHz的高频电力(偏压用)。此时,自直流电源12对静电吸盘6的电极6a施加规定的直流电压,利用库仑力吸附半导体晶圆W。
在这种情况下,通过如上所述地对作为下部电极的载置台2施加高频电力,在作为上部电极的簇射头16与作为下部电极的载置台2之间形成有电场。在半导体晶圆W所存在的处理空间中产生放电,利用由此形成的处理气体的等离子体对形成在半导体晶圆W上的、含有硅的防反射膜(Si-ARC)等进行蚀刻处理。
在此,如上所述,由于能够在等离子处理过程中对簇射头16施加直流电压,因此具有如下的效果。即,根据工艺,有时候要求高电子密度且低离子能的等离子体。在这种情况下,若采用直流电压,则能够在抑制射入到半导体晶圆W的离子能的同时、增加等离子体的电子密度,从而半导体晶圆W的作为蚀刻对象的膜的蚀刻速率上升,并且,对设置在蚀刻对象的上部的作为掩模的膜的溅射速率降低,选择性提高。
然后,在上述蚀刻处理结束时,停止供给高频电力、直流电压及处理气体,利用与上述顺序相反的顺序从处理室1内输出半导体晶圆W。
接着,参照图1说明本实施方式的等离子蚀刻方法。图1的(a)、(b)放大地表示本实施方式的作为被处理基板的半导体晶圆W的主要部分构造。如图1的(a)所示,在半导体晶圆W上,作为被蚀刻膜而形成有例如机膜101(厚度例如为200nm),在该有机膜101的上层形成有含有硅的防反射膜(Si-ARC)102(厚度例如为40nm)。该含有硅的防反射膜(Si-ARC)102例如由Si含有率为43%左右的有机膜(涂敷膜)构成。而且,在该含有硅的防反射膜(Si-ARC)102上形成有ArF光致抗蚀剂膜103(厚度例如为100nm)。在ArF光致抗蚀剂膜103上形成有利用精密照片复制工序形成图案的、规定形状的开口104。
将上述构造的半导体晶圆W收容在图2所示的装置的处理室1内,载置于载置台2,从图1的(a)所示的状态开始,将ArF光致抗蚀剂膜103作为掩模来蚀刻含有硅的防反射膜(Si-ARC)102,形成图1的(b)的状态。另外,实际上,从图1的(b)的状态开始蚀刻作为被蚀刻膜的有机膜101。
在本实施方式中,对上述含有硅的防反射膜(Si-ARC)102进行蚀刻时,作为处理气体,使用含有CF类气体和/或CHF类气体、CF3I气体、氧气的混合气体,而且,自可变直流电源52对作为上部电极的簇射头16施加规定的负直流电压。
对上述簇射头16施加的负直流电压的电压值的范围优选为-1000V~-300V,更优选为-900V~-600V。
作为上述处理气体,例如可以灵活使用CF4气体、CF3I气体和O2气体的混合气体。在这种情况下,CF3I气体流量相对于CF4气体流量和CF3I气体流量之和的比(CF3I气体流量/(CF4气体流量+CF3I气体流量))的范围优选为0.1~0.3。另外,O2气体的流量优选为处理气体全流量的1~3%左右,更优选为大致2%左右。
另外,在上述等离子蚀刻时,优选自第2RF电源10b向作为下部电极的载置台2供给离子引入用(偏压用)的高频电力,该离子引入用(偏压用)的高频电力的电力值优选为100W~300W左右。
作为实施例1,使用图2所示的等离子蚀刻装置,利用以下所示的制程程序对图1所示的构造的半导体晶圆实施上述含有硅的防反射膜(Si-ARC)102的等离子蚀刻处理工序。
另外,以下所示的实施例1的处理制程程序从控制部60的存储部63读出而被编入到工艺控制器61,工艺控制器61根据控制程序控制等离子蚀刻装置的各部,从而执行如读出的处理制程程序那样的等离子蚀刻处理工序。
处理气体:CF4/CF3I/O2=225/25/5sccm
压力:6.7Pa(50mTorr)
高频电力(HF/LF):400/100W
直流电压:-900V
上述实施例1的ArF光致抗蚀剂的蚀刻速率为48.5nm/min,含有硅的防反射膜(Si-ARC)的蚀刻速率为120.0nm/min,选择比(含有硅的防反射膜(Si-ARC)的蚀刻速率/ArF光致抗蚀剂的蚀刻速率)为2.5。另外,利用SEM观察到的蚀刻后的ArF光致抗蚀剂的状态的表面粗糙度较小,CD(线宽)为71.7nm,LWR(Line Width Roughness)3.8nm。图3表示实施例1的利用SEM放大后的ArF光致抗蚀剂的截面状态(a)、上表面状态(b)的照片。
作为比较例,在以下条件下实施含有硅的防反射膜(Si-ARC)102的等离子蚀刻处理工序。
处理气体:CF4/O2=250/5sccm
压力:10Pa(75mTorr)
高频电力(H F/LF):400/0W
直流电压:0V
上述比较例的ArF光致抗蚀剂的蚀刻速率为65.0nm/min,含有硅的防反射膜(Si-ARC)的蚀刻速率为50.5nm/min,选择比(含有硅的防反射膜(Si-ARC)的蚀刻速率/ArF光致抗蚀剂的蚀刻速率)为0.8。另外,利用SEM观察到的蚀刻后的ArF光致抗蚀剂的状态的表面粗糙度虽然不是很大,但CD(线宽)为47.9nm,LWR(Line Width Roughness)为4.3nm,ArF光致抗蚀剂被蚀刻得较多,其剩余膜量较少。图4表示比较例的利用SEM放大后的ArF光致抗蚀剂的截面状态(a)、上表面状态(b)的照片。
如上所述,与比较例的情况相比,在实施例中,含有硅的防反射膜(Si-ARC)的蚀刻速率较高,选择比也较高,ArF光致抗蚀剂的LWR也较小。另外,ArF光致抗蚀剂的CD(线宽)也较大。
另外,进行在上述实施例1的含有硅的防反射膜(Si-ARC)的蚀刻之前、追加ArF光致抗蚀剂的处理工序的实施例2的等离子蚀刻。该实施例2中的处理工序例如采用含有氢气的处理气体(H2气体、H2气体和N2气体、H2气体和Ar气体等),使该等离子体作用于ArF光致抗蚀剂,由此,进行ArF光致抗蚀剂表面的改性和表面的抛光。在该实施例2中,在以下条件下实施处理工序。
处理气体:H2/N2=450/450sccm
压力:13.3Pa(100mTorr)
高频电力(HF/LF):200/0W
直流电压:0V
在上述处理工序之后,进行与实施例1相同的等离子蚀刻。在该实施例2中,利用SEM观察到的蚀刻后的ArF光致抗蚀剂的状态的表面度粗糙较小,CD(线宽)为69.4nm,LWR(LineWidth Roughness)为3.2nm,比实施例1的LWR值进一步得到了改善。
在上述各实施例中,在等离子蚀刻时,自第2RF电源10b对载置台(下部电极)2施加离子引入用(偏压用)的高频电力。其目的在于提高含有硅的防反射膜(Si-ARC)的蚀刻速率。图5将纵轴作为蚀刻速率(nm/min),横轴作为偏压用高频电力值(W),图5表示在以下条件(1)的等离子蚀刻条件下仅改变偏压用的高频电力而调查各个高频电力值的蚀刻速率的结果。如该图所示,偏压用的高频电力值越高,含有硅的防反射膜(Si-ARC)的蚀刻速率越高。对簇射头(上部电极)16施加-600V的直流电压的情况也与上述情况完全相同。
条件(1)
处理气体:CF4/CF3I/O2=225/25/5sccm
压力:10.0Pa(75mTorr)
高频电力(HF/LF):400/(变化)W
直流电压:0V
另一方面,如上所述,在提高偏压用的高频电力值时,ArF光致抗蚀剂产生表面粗糙。另外,如将纵轴作为选择比、横轴作为偏压用高频电力值(W)的图6所示,通过对簇射头(上部电极)16施加-600V的直流电压,与不施加直流电压的情况相比能够提高选择比。
将纵轴作为选择比、横轴作为负直流电压值(绝对值)(V)的图7的坐标图表示上述那样的、调查对簇射头(上部电极)16施加的负直流电压与选择比的关系的结果(LF=200W,除直流电压之外的蚀刻条件与条件(1)相同)。如图7所示,负直流电压值(绝对值)越高,选择比越高。但是,在电压值大于-1000V时,ArF光致抗蚀剂产生起伏(Wiggling)。因此,对簇射头(上部电极)16施加的直流电压的范围优选为-1000V~-300V,更优选为-900V~-600V。
为了获得需要的蚀刻速率,对载置台(下部电极)2施加的偏压用高频电力优选为100W以上,能够在上述直流电压值的范围内获得充分的选择比,而且,为了抑制ArF光致抗蚀剂的表面粗糙,优选为300W以下。即,偏压用的高频电力的范围优选为100W~300W。
图8将纵轴作为蚀刻速率,横轴作为CF3I气体流量相对于CF4气体流量和CF3I气体流量之和的比(CF3I气体流量/(CF4气体流量+CF3I气体流量)),表示调查CF3I气体流量比与蚀刻速率的关系的结果。另外,除CF3I气体流量比之外的蚀刻条件与条件(1)(其中,LF=200W,直流电压=-600V)相同。图9同样将纵轴作为选择比,横轴作为CF3I气体流量相对于CF4气体流量和CF3I气体流量之和的比(CF3I气体流量/(CF4气体流量+CF3I气体流量)),表示调查CF3I流量比与选择比的关系的结果。如这些图8、9所示,若CF3I气体的流量比升高,则蚀刻速率和选择比均降低。因而,CF3I气体的流量比优选为0.3以下。
另一方面,如图10所示,若CF3I的流量比降低,则ArF光致抗蚀剂产生表面粗糙。另外,图10从左侧按顺序表示在CF3I流量为0sccm(a)、19sccm(b)、25sccm(c)的情况下由SEM获得的放大照片。因此,CF3I气体的流量比优选为0.1以上。
由以上内容,CF3I气体流量相对于CF4气体流量和CF3I气体流量之和的比(CF3I气体流量/(CF4气体流量+CF3I气体流量))的范围优选为0.1~0.3(10%~30%)。
图11的坐标图将纵轴作为蚀刻速率,横轴作为压力,表示调查压力与蚀刻速率的关系的结果。另外,图12将纵轴作为选择比,横轴作为压力,表示调查压力与选择比的关系的结果。另外,除压力之外的蚀刻条件与条件(1)(其中,LF=200W,直流电压=-600V)相同。如这些图11、12所示,压力越低,蚀刻速率和选择比均越升高。因此,压力的范围优选为4.0Pa(30mTorr)~13.3Pa(100mTorr),更优选为大致6.7Pa(50mTorr)左右。
像以上说明的那样,采用本实施方式,能够在抑制ArF光致抗蚀剂的损伤(表面粗糙)的同时、以较高的蚀刻速率对含有硅的防反射膜(Si-ARC)进行等离子蚀刻。另外,由于选择比较高,因此,也能够引入到缩窄ArF光致抗蚀剂的线宽(CD)、或者改善粗糙度这样的ArF光致抗蚀剂的装饰工序。另外,本发明并不限定于上述实施方式及实施例,能够进行各种变形。

Claims (8)

1.一种等离子蚀刻方法,该方法使用等离子蚀刻装置,该等离子蚀刻装置包括配置在处理室内且载置基板的下部电极、与上述下部电极相对地配置在上述处理室内的上部电极、用于向上述处理室内供给处理气体的处理气体供给机构、用于对上述下部电极与上述上部电极之间施加高频电力的高频电源,
使用该等离子蚀刻装置将形成于上述基板上的ArF光致抗蚀剂作为掩模,利用上述处理气体的等离子体对位于上述ArF光致抗蚀剂的下层的、含有Si的防反射膜进行蚀刻,
该等离子蚀刻方法的特征在于,
作为上述处理气体,使用含有CF类气体和/或CHF类气体、CF3I气体、氧气的混合气体,而且,对上述上部电极施加直流电压。
2.根据权利要求1所述的等离子蚀刻方法,其特征在于,
对上述上部电极施加的直流电压的电压值的范围为-1000V~-300V。
3.根据权利要求1或2所述的等离子蚀刻方法,其特征在于,
上述处理气体为CF4气体、CF3I气体和氧气的混合气体;
CF3I气体流量相对于CF4气体流量和CF3I气体流量之和的比(CF3I气体流量/(CF4气体流量+CF3I气体流量))为0.1~0.3。
4.根据权利要求1~3中任一项所述的等离子蚀刻方法,其特征在于,
对上述下部电极施加电力值为100W~300W的偏压用的高频电力。
5.根据权利要求1~4中任一项所述的等离子蚀刻方法,其特征在于,
在对上述含有Si的防反射膜进行蚀刻之前,进行处理上述ArF光致抗蚀剂的处理工序。
6.根据权利要求5所述的等离子蚀刻方法,其特征在于,
上述处理工序是将H2气体、或者H2气体和N2气体、或者H2气体和Ar气体作为处理气体,将该处理气体等离子化后作用于上述ArF光致抗蚀剂的等离子处理。
7.一种等离子蚀刻装置,其包括配置在处理室内且载置基板的下部电极、与上述下部电极相对地配置在上述处理室内的上部电极、用于向上述处理室内供给处理气体的处理气体供给机构、用于对上述下部电极与上述上部电极之间施加高频电力的高频电源,其特征在于,
包括控制部,该控制部进行控制,使得在将形成于上述基板上的ArF光致抗蚀剂作为掩模、利用上述处理气体的等离子体对位于上述ArF光致抗蚀剂的下层的含有Si的防反射膜进行蚀刻时,自上述处理气体供给机构供给作为处理气体的含有CF类气体和/或CHF类气体、CF3I气体、氧气的混合气体,而且,自直流电源对上述上部电极施加直流电压。
8.一种计算机存储介质,该计算机存储介质存储有在计算机上工作的控制程序,其特征在于,
上述控制程序控制等离子蚀刻装置,使得等离子蚀刻装置在执行该控制程序时进行权利要求1~6中任一项所述的等离子蚀刻方法。
CN201010003425XA 2009-03-04 2010-01-15 等离子蚀刻方法及等离子蚀刻装置 Expired - Fee Related CN101826435B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009050389A JP2010205967A (ja) 2009-03-04 2009-03-04 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP2009-050389 2009-03-04

Publications (2)

Publication Number Publication Date
CN101826435A true CN101826435A (zh) 2010-09-08
CN101826435B CN101826435B (zh) 2012-05-09

Family

ID=42677303

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010003425XA Expired - Fee Related CN101826435B (zh) 2009-03-04 2010-01-15 等离子蚀刻方法及等离子蚀刻装置

Country Status (5)

Country Link
US (1) US20100224587A1 (zh)
JP (1) JP2010205967A (zh)
KR (1) KR20100100632A (zh)
CN (1) CN101826435B (zh)
TW (1) TW201104742A (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103140918A (zh) * 2011-03-03 2013-06-05 松下电器产业株式会社 半导体基板的表面蚀刻装置、以及使用该表面蚀刻装置制造在表面形成有凹凸形状的半导体基板的方法
CN104246992A (zh) * 2012-04-05 2014-12-24 朗姆研究公司 等离子体蚀刻前处理光刻胶而形成特征的方法和装置
CN104701159A (zh) * 2013-12-10 2015-06-10 东京毅力科创株式会社 蚀刻方法
CN106067418A (zh) * 2015-04-22 2016-11-02 东京毅力科创株式会社 蚀刻处理方法
CN108028196A (zh) * 2015-08-27 2018-05-11 东京毅力科创株式会社 等离子体处理方法
CN108046737A (zh) * 2018-02-24 2018-05-18 航天慧能(江苏)环境工程有限公司 一种含有重金属危险废物的处理方法
CN109473331A (zh) * 2017-09-08 2019-03-15 北京北方华创微电子装备有限公司 腔室屏蔽装置和半导体处理腔室

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6226668B2 (ja) * 2012-09-25 2017-11-08 東京エレクトロン株式会社 プラズマ処理方法
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6017928B2 (ja) * 2012-11-09 2016-11-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN104241088B (zh) * 2013-06-09 2017-07-14 中芯国际集成电路制造(上海)有限公司 条形结构的形成方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6200849B2 (ja) * 2014-04-25 2017-09-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびドライエッチング方法
US9368368B2 (en) * 2014-07-21 2016-06-14 Tokyo Electron Limited Method for increasing oxide etch selectivity
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6438831B2 (ja) * 2015-04-20 2018-12-19 東京エレクトロン株式会社 有機膜をエッチングする方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
CN102318037B (zh) * 2007-12-21 2014-03-05 朗姆研究公司 利用arc层打开的cd偏置负载控制

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103140918A (zh) * 2011-03-03 2013-06-05 松下电器产业株式会社 半导体基板的表面蚀刻装置、以及使用该表面蚀刻装置制造在表面形成有凹凸形状的半导体基板的方法
CN104246992A (zh) * 2012-04-05 2014-12-24 朗姆研究公司 等离子体蚀刻前处理光刻胶而形成特征的方法和装置
CN104701159A (zh) * 2013-12-10 2015-06-10 东京毅力科创株式会社 蚀刻方法
CN104701159B (zh) * 2013-12-10 2018-05-08 东京毅力科创株式会社 蚀刻方法
CN106067418A (zh) * 2015-04-22 2016-11-02 东京毅力科创株式会社 蚀刻处理方法
CN106067418B (zh) * 2015-04-22 2019-07-05 东京毅力科创株式会社 蚀刻处理方法
CN108028196A (zh) * 2015-08-27 2018-05-11 东京毅力科创株式会社 等离子体处理方法
CN109473331A (zh) * 2017-09-08 2019-03-15 北京北方华创微电子装备有限公司 腔室屏蔽装置和半导体处理腔室
CN108046737A (zh) * 2018-02-24 2018-05-18 航天慧能(江苏)环境工程有限公司 一种含有重金属危险废物的处理方法

Also Published As

Publication number Publication date
US20100224587A1 (en) 2010-09-09
TW201104742A (en) 2011-02-01
CN101826435B (zh) 2012-05-09
JP2010205967A (ja) 2010-09-16
KR20100100632A (ko) 2010-09-15

Similar Documents

Publication Publication Date Title
CN101826435B (zh) 等离子蚀刻方法及等离子蚀刻装置
TWI508164B (zh) Manufacturing method of semiconductor device
CN102194686B (zh) 等离子体蚀刻方法
CN101692423B (zh) 等离子体蚀刻方法
TWI618145B (zh) 電漿蝕刻方法及電漿蝕刻裝置
CN101241859B (zh) 等离子体蚀刻方法和装置、控制程序和计算机存储介质
CN102655086A (zh) 半导体器件的制造方法和计算机存储介质
US8642482B2 (en) Plasma etching method, control program and computer storage medium
CN101471257B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN101609799B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
TW201403705A (zh) 半導體裝置之製造方法及電腦記錄媒體
US9543164B2 (en) Etching method
JP2015079793A (ja) プラズマ処理方法
TWI544543B (zh) A manufacturing method of a semiconductor device, and a computer recording medium
CN117253788A (zh) 一种侧壁刻蚀方法和半导体工艺设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120509

Termination date: 20140115