TW200845206A - Method for manufacturing insulated gate field effect transistor - Google Patents

Method for manufacturing insulated gate field effect transistor Download PDF

Info

Publication number
TW200845206A
TW200845206A TW097103815A TW97103815A TW200845206A TW 200845206 A TW200845206 A TW 200845206A TW 097103815 A TW097103815 A TW 097103815A TW 97103815 A TW97103815 A TW 97103815A TW 200845206 A TW200845206 A TW 200845206A
Authority
TW
Taiwan
Prior art keywords
insulating layer
layer
interlayer insulating
field effect
effect transistor
Prior art date
Application number
TW097103815A
Other languages
English (en)
Inventor
Fumiaki Okazaki
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Publication of TW200845206A publication Critical patent/TW200845206A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

200845206 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種製造絕緣間場效電晶體之方法。 本發明含有在2007年2月15曰向曰本專利局申請的曰本 專利申凊案JP 2007-035007的相關標的,該案之全文以引 用的方式併入本文中。 【先前技術】 目前’電晶體之小型化係基於所謂按比例調整之規則來 發展’且因而整合程度增以增進半導體裝置之操作速 率。為了絕緣閘場效電晶體(金屬絕緣體半導體fet (MISFET))之小型化’需求抑制所謂短通道效應的影響。 只要閘電極係由半導體材料組成,其將難以有效地抑制問 電極之空乏’其係短通道效應之—因素。為了克服此問 題,業經提出-種其中-閑電極係藉由使用如金屬或金屬 化合物之導電材料形成的方案。至於一種藉由使用導電材 料形成一閘電極的方法,業經提出一種其中例如沈積金屬 膜而非多晶矽膜的方法,且此金屬膜經圖案化以因而形成 一類似於相關技術方法的閘電極。此外,亦業經提出一種 其中閘電極係藉由將一導電材料埋入一閘電極形成開口 的所謂鑲嵌程序而形成的方法(參考例如,
Yagishita等人之”由用於〇·ι μηι型之CMp所製造的高性能金 屬閘 MOSFET International Electron Devices Meeting 1998技術文摘,第785至788頁(1998),及日本專利特許公 開第2005-303256號)。在藉由鑲嵌程序形成閘電極的方法 128393.doc 200845206 中,一由例如具有比氧化矽之相關介電常數更高的絕緣材 料(如,氧化铪)所組成之閘絕緣臈係形成在一由移除虛設 閘電極產生之閘電極形成開口中,而後形成一閘電極。此 方法可增強絕緣閘場效電晶體之特徵。 一種藉由相關技術鑲嵌程序形成閘電極之方法的概述, 將會在下文中參考圖1C、ID、IE、IF、5A及5B描述,其 係石夕半導體基板等等的示意性部分端視圖。 [步驟10] 最初’係製備一基底1 〇,其包括源極/汲極區i 3、一通 道形成區12、一形成在通道形成區12上且由氧化铪組成之 閘絕緣膜30、一由Si〇2組成且覆蓋源極/汲極區丨3之絕緣層 21,及一閘電極形成開口 22(其係在通道形成區12上之絕 緣層21的一部分中提供κ參見圖…及1D)。 種製造基底1 0的方法以下將在本發明之第一具體實施 例的解釋中詳述。在圖中,參考數字丨丨表示矽半導體基 板。麥考數字13 A表示在源極/汲極區13之上部分甲形成的 矽化物層。參考數字17表示側壁膜。 [步驟20] 在製備基底10後,一由金屬材料(矽化铪)組成用於定義 閘電極之功函數的功函數控制層31,及一由TiN組成之阻 障層(未顯示)係橫跨整個表面順序地形成(參見圖ie)。之 後,一由鎢組成之導電材料層32係基於所謂毯覆式鎢CVD 橫跨整個表面形成。其後,基MCMp之平坦化處理係實行 以移除絕緣層21及側壁膜17上之導電材料層32、阻障層、 128393.doc 200845206 功函數控制層31及閘絕緣膜3〇。以此方式,可獲得一閘電 極23(參見圖1F)。閘電極23係形成在通道形成區12上,其 中問絕緣膜30之中間介於其間及係由功函數控制層3 1、阻 障層(未顯示),及導電材料層32形成。 [步驟30] 其後’一由Si〇2組成之層間絕緣層142係藉由例如高密 度電漿CVD橫跨整個表面形成(參見圖5A)。 [步驟40] 其後’基於微影餘刻及乾式蝕刻,接點插塞形成開口 43 A及43B係在閘電極23上及源極/汲極區13上之層間絕緣 層I42的部分中形成。之後,一由丁i(下層)/TiN(上層)組成 之第一阻障層(未顯示)係橫跨整個表面形成,而後一鎢層 係基於毯覆式鎢CVD橫跨整個表面形成。其後,會實行基 於CMP之平坦化處理,因此接點插塞44A及44B可在接點 插基形成開口 43A及43B中形成(參見圖5B)。 【發明内容】 在藉由此一製造方法獲得之絕緣閘場效電晶體的情況 下’由Si〇2組成之層間絕緣層ι42係在[步驟3〇]中藉由cvd k跨整個表面來形成(參見圖5A)。典型地,在用於cvd之 來源氣體的組成物中,會包含氧原子或氧分子。因此,在 由si〇2組成的層間絕緣層142之形成中,蒙氣中之氧原子 或氧分子通過導電材料層32、阻障層、功函數控制層3丨及 閘絕緣膜30,且到達面對閘電極23之矽半導體基板丨丨的一 部分’因此石夕半導體基板丨丨的此部分會氧化。在圖5A及 128393.doc 200845206 5B中,石夕半導體基板11的此氧化部分係藉由參考數字3〇a 來指示。 此一現象之發生最終相當於增加閘絕緣膜3〇之膜厚度, 其導致絕緣閘場效電晶體之特徵之退化,例如降低閘電 容。 ^ 需要本發明提供一種製造一絕緣閘場效電晶體的方法, - 其於閘電極上形成一層間絕緣層之時不會使一面對一閘電 極之基底的一部分之氧化。 ( 一種根據本發明之一第一模式製造一絕緣閘場效電晶體 的方法(以下縮寫為根據本發明之第一模式的製造方法)包 括以下步驟(a)製備一基底,其包括源極/汲極區、一通道 形成區、一形成在該通道形成區上之閘絕緣膜、一覆蓋該 等源極/汲極區之絕緣層,及一在該通道形成區上之該絕 緣層的邛分中所提供之閘電極形成開口,(…藉由在該閘 電極形成開口中埋入一導電材料層來形成一閘電極,⑷移 除忒絶緣層,及(d)順序地橫跨整個表面沈積一第一層間絕 緣層及帛一層間絕緣層。在該步驟⑷中,該第一層間絕 緣層係在不包含氧原子之一沈積蒙氣中沈積。 、 斤明確言之,在根據本發明之第一模式的製造方法中,該 • 帛—層㈣緣層及該第二層間絕緣層係順序地沈積在橫跨 整個表面之該閘電極及該等源極/汲極區上。 種根據本發明之一第二模式製造一絕緣問場效電晶體 的方法(以下縮寫為根據本發明之第二模式的製造方法)包 括以下步驟⑷製備一基底,其包括源極/汲極區、一通道 128393.doc 200845206 形成區、一形成在該通道形成區上之閘絕 > 等源極/汲極區之絕緣層,及一 、’、、一覆盍該 及在该通道形成區上之該絕 =的一部分中所提供之閘電極形成開口,_由在㈣ 電極形成開口中埋入-導電材料層來形成—閑電極,及⑷ 順序地橫跨整個表面沈積一第一層間絕緣層及—第二層門 絕緣層。在該步驟⑷中,該第一層間絕緣層係在不 原子之一沈積蒙氣中沈積。 明確言之’在根據本發明之m的製造方法中,士亥 第一層間絕緣層及該第二層間絕緣層係順序地沈積在橫跨 整個表面之該閘電極及該絕緣層上。 在根據本發明之第-模式之製造方法的步驟⑷中,及 在根據本發明第二模式的製造方法之步驟(〇中,該第二層 間絕緣層可在-包含一氧原子的沈積蒙氣中沈積。在此; 況下’需要該第-層間絕緣層由氮切(SiN)或碳化石夕 (SiC)組成’且該第二層間絕緣層由氧化石夕⑻〜)組成。
C 处在包括根據本發明之第-及第二模式之以上所述較佳組 ▲的裝k方法中’亦可使用一種組態,其中該絕緣層係由 該^絕緣層及形成在此下絕緣層上之上絕緣層形成,且該 下絕緣層覆蓋至少源極/汲極區。根據本發明之第一模式 =製造方法中,較佳係在步驟(c)中可移除該上絕緣層,且 留了該下絕緣層。此外,在此情況中,需要該下絕緣層由 〃 4第層間絕緣層相同之材料組成,且該上絕緣層係由 與该第二層間絕緣層相同的材料組成,但此組態不強加限 】月確s之,需要該第一層間絕緣層及該下絕緣層由氮 128393.doc 200845206 化矽(SiN)或碳化矽(SiC)組成,且該第二層間絕緣層及該 上絕緣層由氧化矽(Si〇x)組成。若該絕緣層係由該下絕緣 層及該上絕緣層形成,則明確言之,在根據本發明之第— 模式的裝造方法中,該第一層間絕緣層及該第二層間絕緣 層係橫跨整個表面順序地沈積在該閘電極及該下絕緣層 上。另一方面,明確言之,在根據本發明之第二模式的製 造方法中,該第一層間絕緣層及該第二層間絕緣層係橫跨 整個表面順序地沈積在該閘電極及該上絕緣層上。 就忒基底而言,較佳係進一步包括一側壁膜,其定義該 閘電極形成開口的側面。此外,需要該側壁膜之至少一部 分的材料不同於該絕緣層(或該上絕緣層)的材料。明確言 之’如SlN可用作接觸該閘電極之側表面的該側壁膜之部 分的材料。若該絕緣層係由該下絕緣層及該上絕緣層形 成,該下絕緣層可在該側壁膜之側表面上延伸。在本說明 曰中 覆蓋源極/汲極區及一側壁膜之絕緣層通常統稱 為絕緣層。若該基底具有該側壁膜,明確言之,在根據 本I明之第一模式的製造方法中,該第一層間絕緣層及該 第二層間絕緣層係橫跨整個表面順序地沈積在該閘電極、 該側壁膜、及該等源極/汲極區i,或在該閘電極、該側 壁膜=該下絕緣層上。另—方面,明確言之,在根據本發 明之第二模式的製造方法中,該第一層間絕緣層及該第二 層間絕緣層係橫跨整個|面順序地沈積在該閘電極、該側 壁膜、及該絕緣層上,或在該閘電極、該側壁膜及該上絕 緣層上。 Λ 、 128393.doc 200845206 在包括根據本發明之第一模式之以上所述較佳組態之製 造方法的步驟(d)中,及在包括根據本發明之第二模式之以 上所述較佳組態所述的製造方法之步驟中,較佳係該第 一層間絕緣層係基於化學汽相沈積(任何各種種類之 CVD,如電漿CVD、高密度電聚CVD、及大氣壓力cvd, 包括原子層沈積(ALD))沈積(形成),其中係使用一具有既 不包含氧原子亦不包含氧分子之組成物的來源氣體。另一 方面,較佳係該第二層間絕緣層係基於任何各種種類之 CVD沈積(形成),其中係使用一具有一包含氧原子或氧分 子之組成物的來源氣體。然而,本發明之具體實施例不受 其限制,而是該第一層間絕緣層及該第二層間絕緣層可藉 由任何物理汽相沈積(PVD)方法沈積(形成),例如濺鍍、 由電子束蒸鍍及熱燈絲蒸鍍代表的蒸鍍、離子電鍍及雷射 剝蝕。在此情況下,較佳係該第一層間絕緣層係基於PVD 在既不包含氧原子亦不包含氧分子之蒙氣中沈積(形成), 且该第二層間絕緣層係基於pvD在包含氧原子或包含氧分 子之蒙氣中沈積(形成)。 在包含根據本發明之第一及第二模式的以上所述較佳組 態之製造方法(以下此等方法通常將簡單統稱為本發明的 製造方法)中,整個閘電極可由導電材料層形成。或者, 該閘電極之底部及側面部分可由一用於定義該閘電極的功 函數之功函數控制層形成,且由底部及側面部分圍繞的中 心部分(剩餘部分)可由該導電材料層形成。在後一情況 中,需要該導電材料層的導電材料之電阻比該功函數控制 128393.doc 200845206 =的導電材料更低。在前_形式中,可簡化該閘電極的形 成步驟。在後一形式中,可降低該閑電極之電阻。此外, 另-導電材料層可形成在該閉電極之中心及底部部分間, 且:该閘電極之中心及側面部分間1,該閘電極可藉由 且-或更八電材料層來形成。至於該導電材料層及該 功函數控制層之導電材料,—導電材料係適當地選定,其 相對於與n通道或p通道絕緣閘場效電晶體之通道形成區的 關係具有一有利的功函數。 於忒導電材料層及該功函數控制層之該等導電材料 (金屬材料),可使用以下任何材料:例如鶴(w)、鈴阐、 麵(Ta)、欽(Ti)、銦(M。)、^ (Ru)、錄(Ni)及始(Pt)之金屬 括任何此等金屬的合金);任何此等金屬之化合物如 1物,及,i於一金屬及—半導體材料間之化合物,如金 一夕化物至於②功函數控制層之導電材料,適當地選定 1料’其相對於與該通道形成區的關係具有—有利的功 ^ 例々§通道形成區係—η型時,可選擇一包含铪 (Hf)、鈕(Ta)或類似者之導電材料(金屬材料)。當該通道形 成區係p型時,可選擇一包含鈦㈤、摩。)、釕(Ru)、錄 ⑽、或類似者之導電材料(金屬材料)。然而,材料 不又其限制。f導電材料層係藉由使用矽化物形成時,η 通道絕緣閘場效1晶體及Ρ通道絕緣閘場效電晶體之開電 亟:功函數可藉由控制在該石夕化物中包含之雜質的種類及 丈里’或藉由離子植入例如鋁離子於矽化物中來最佳化。 該閑電極可藉由已知鑲嵌程序形成。明確言之,在鎮欲程 128393.doc 200845206 序中’導電材料層係藉由單獨或任意組合實行以下任何沈 積方法來埋入該閘電極形成開口中:各種PVD,例如由電 子束蒸鑛及熱燈絲蒸鍍代表的蒸鐘、丨賤鑛、離子電錢及雷 射剝餘;各種CVD,包括ALD及MOCVD ;及電鍍,如電 解電鍍及無電電鍍。其後,平坦化處理係藉由化學機械拋 光(CMP)、回姓或類似者實行。 絕緣層之移除係基於一適用於該絕緣層之材料的方法實 行。該方法的範例包括使用適當蝕刻劑的乾式蝕刻及溼式 餘刻。 在本發明之製造方法中,該閘絕緣膜可在該閘電極形成 開口形成於絕緣層中之後形成。或者,該絕緣層與該閘電 極形成開口可在形成該閘絕緣膜之後形成。在後一情況 中,該閘電極形成開口形成之方式係將該閘絕緣膜留在該 開口的底部。除了一般已用於相關技術中以Si〇2為主及 SiN為主之材料以外,該閘絕緣膜材料之範例包括所謂高 相對介電常數材料,其相對介電常數k (=ε/ε())實質上係4〇 或更高。高相對介電常數材料之範例包括氧化鍅(Zr〇d、 氧化铪(Hf〇2)、氧化鋁(Ai2〇3)、氧化釔(丫2〇3)、及氧化鑭 (La2〇)。此外,該等範例進一步包括金屬矽化物,如 HfSiO、ZrSiO、AiSi〇及LaSio。該閘絕緣膜可藉由使用一 種材料或袓數種材料形成。再者,該閘絕緣膜可由一單一 膜(包含一由複數材料組成的複合膜)或多層膜形成。該^^通 道絕緣閘場效電晶體及P通道絕緣閘場效電晶體之閘絕緣 膜可藉由使用相同材料或彼此不同的材料形成。該閘絕緣 128393.doc 13 200845206 膜可藉由已知方法形成。尤其係,可將包含ALD之CVD及 金屬有機化學汽相沈積(]^〇(::¥1))用作形成由以上所述高相 對介電常數材料組成之該閘絕緣膜的方法。 在本發明的製造方法中,除了以上所述以〇2及siN以 外’该絕緣層之材料的範例包括si〇N、si〇F、SiC及低介 私书數絕緣材料(其介電常數k(=g/s〇)係如3·5或更低),諸 如有機SOG、聚醯亞胺為主樹脂及氟為主樹脂(如氟碳、 非晶四氟乙烯、聚芳醚、芳醚氟化物、聚醯亞胺氟化物、 聚對二甲苯基、苯環丁烯、非晶碳、環氟碳聚合物,及氟 化虽勒烯(fluorofullerene))。該絕緣層亦可藉由使用任何 此等材料形成之多層結構來形成。 在位於通道形成區及源極/汲極區上之層間絕緣層的部 分上,可形成連接至閘電極及源極/汲極區的接點插塞。 接點插塞之材料的範例包括與一例如鎢(w)之雜質及耐火 金屬材料摻雜的多晶石夕。接點插塞可藉由如之乾式餘 刻在層間絕緣層中提供接點插塞形成開口來形成,而後藉 由一已知方法用以上所述材料填充接點插塞形成開口。明 確言之,例如接點插塞可藉由毯覆式鎢CVD將鎢埋入接點 插塞形成開口,而後移除在層間絕緣層上之過量鎢層來形 成。亦可用一形式,其中作為黏著層之一 丁丨層及一丁 iN層 係形成在該接點插塞形成開口中,而後藉由毯覆式鎢CVD 將鎢埋入該接點插塞形成開口。 需要该等源極/汲極區之頂部表面由石夕化物層形成,用 於減少接觸阻力。 128393.doc -14- 200845206
C 由於基底係用於本發明之製造方法且包括源極/汲極 區通道$成區等等,除了例如石夕半導體基板之半導體基 板以外,可使用一其表面具有一半導體層(如玻璃基 板、石央基板、表面具有一絕緣材料層之矽半導體基板、 塑膠基板、或塑膠膜)的切部件。該絕緣閘場效電晶體 係形成在半導體基板或半導體層中之—例如井區或類似者 中 纟有如一溝渠結構之所謂元件p馬離區可在該等絕緣 閘場效電晶體間形成。元件隔離區可具有__L〇c〇s結構, 或可為基於一溝渠結構及_L〇c〇s結構的結合。或者,更 可使用具有一由SIMOX或基板接合產生之s〇I結構的基 底。可將已知方法用作-製備基底的方法,該基底包括源 極/沒極區、料形錢、在通道形成區上形成的閑絕緣 臈、覆蓋源極/沒極區之絕緣層,及在通道形成區上之絕 緣層的-部分中提供的閘電極形成開〇,即一種用於製造 此一基底的方法。 術語”通道形成區"不僅指示一其中實際上形成通道之 區,且指示一其中將可能形成通道的區。例如,一半導體 層及一位於面對該閘電極之半導體基板的部分對應於"通 道形成區”。此外,"閘電極"不僅包含面對"通道形成區"之 電極部分’且包含-作為自此電極部分之-延伸的引出電 T部分。-種藉由本發明之製造方法製造的絕緣間場效電 晶體’可為例如—由—n通道M〇s及一 p通道m〇s形成,而 ,一 η通道MISFET及—p通道MISFET形成之半導體 裝置。或者,除了一n通道M〇s及一 p通道m〇s之外,其可 128393.doc -15- 200845206 為一包括一雙極電晶體之BiCMOS半導體裝置。 在本發明之製造方法中,該第一層間絕緣層及該第二層 間絕緣層在形成閘電極後橫跨整個表面順序地沈積。在此 沈積中,該第一層間絕緣層係在不含氧原子之沈積蒙氣中 沈積。此特性可確定地防止發生面對閘電極之該基底 (如’石夕半導體基板)的一部分之氧化的現象,且因此可確 定地避免絕緣閘場效電晶體之特徵的退化的問題發生,例 如降低閘電容。 在根據本發明之第一模式的製造方法中,該閘電極上之 組件的組態(層間絕緣層之組態)可製造成為與源極/汲極區 (絕緣層+層間絕緣層之組態)上之組件實質上相同。因 此,接點插塞形成開口可易於形成用於供應閘電極及源極/ 汲極區之接點插塞。 此外,在本發明之製造方法中,若絕緣層係由下絕緣層 及上絕緣層形成,則可能使該下絕緣層之功能為一襯裡 層,且因此可將應力施加至通道形成區。結果,可提升絕 緣閘場效電晶體之驅動能力。此外,在根據本發明之第一 模式的製造方法中,上絕緣層被移除而留下該下絕緣層。 因此,在絕緣層移除中,損害未發生至源極/汲極區。 【實施方式】 以下將參考附圖描述本發明之具體實施例。 [第一具體實施例] —本發明之第一具體實施例係關於一種用於根據本發明的 第一模式製造絕緣閘場效電晶體之方法。 128393.doc •16- 200845206 如圖ii之示意性部分端視圖顯示,一種藉由根據第一具 體實知例製造絕緣閘場效電晶體的方法所獲得的絕緣問場 效電晶體包括:(a)源極/汲極區13及一通道形成區12,\^) 一形成在通道形成區12上之閘電極23,及(c)一閘絕緣膜 30。在第一具體實施例中,且在本發明的第二至第四具體 實施例(其將描述於後)中,形成一 n通道絕緣閘場效電晶 體。 曰曰 閘絕緣膜30係由氧化铪組成。閘電極23係由一功函數控 制層31及一導電材料層32形成。功函數控制層^係由一導 電材料(金屬材料)組成,用於定義閘電極23之功函數,且 明確言之係由矽化铪(即,HfSix)組成。導電材料層32係由 一不同於功函數控制層31的導電材料(金屬材料,明確言 之係鎢(w))組成。功函數控制層31係橫跨面對通道形成區 12之閘電極23的底部及側部分形成,且導電材料層32佔有 閘電極23之剩餘部分。在第一具體實施例之絕緣閘場效電 晶體中’閘電極23之側部分係接觸一由siN組成的側壁膜 17圍繞源極/汲極區13之表面係形成石夕化物層(明確言之 係矽化鎳層)13A。此在後續欲描述之第二至第四具體實施 例中亦相同。 在源極/汲極區13、側壁膜17、及閘電極23上,沈積(形 成)一由氮化矽(SiN)組成之第一層間絕緣層41。在第一層 間絶緣層41上,沈積(形成)一由氧化矽(si〇x,如乂=2)組成 之第二層間絕緣層42。此外,一接點插塞形成開口 43 A係 提供在位於通道形成區12上之第一層間絕緣層41及第二層 128393.doc 200845206 間絕緣層42的部分中。在此接點插塞形成開口 43 A中,提 供一由鶴組成及連接至閘電極23之頂部的接點插塞44A。 此外,接點插塞形成開口 43B係提供在位於源極/汲極區13 之上的第一層間絕緣層41及第二層間絕緣層42的部分中。 在此等接點插塞形成開口 43B中,提供由鎢組成及連接至 ‘ 源極/汲極區13之矽化物層13A的接點插塞44B。參考數字 • 11表不碎半導體基板。 以下將參考圖1A至11描述根據第一具體實施例製造絕緣 ^ 閘場效電晶體的方法,圖1A至II係矽半導體基板等等之示 意性部分端視圖。 [步驟100] 最初,所製備之一基底10包括源極/汲極區13、通道形 成區12、在通道形成區12上形成之閘絕緣膜30、一由Si02 形成及覆蓋源極/汲極區1 3之絕緣層21、及一在通道形成 區12上之絕緣層2 1的一部分中提供之閘電極形成開口 22。 明確言之,在元件隔離區(未顯示)在矽半導體基板U中 形成後,一虛設閘絕緣膜14係在矽半導體基板11之表面上 形成,而後一虛設多晶矽層1 5及一由SiN組成之硬遮罩層 係順序地形成。其後,一基於微影蝕刻及乾式蝕刻之虛設 閘電極1 51係形成。虛設閘電極1 5’具有一由虛設多晶矽層 1 5及硬遮罩16形成之多層結構。其後,在實行形成一 ldd 結構之雜質的淺離子植入後,一用於形成側壁膜17之siN 層係形成在虛設閘電極1 5 ’之側表面上,且回飿該§iN層。 此可形成由SiN組成之側壁膜17。之後,實行一雜質的深 128393.doc -18- 200845206 離子植入,以因而形成源極/汲極區1 3。其後,一錄層係 橫跨整個表面沈積且實行熱處理,以因而將源極/沒極區 1 3之上部分轉成矽化物。此可形成由矽化鎳組成的矽化物 層1 3 A。之後,移除未反應之鎳層且再次實行熱處理,以 因而穩定石夕化物層13 A。透過此步驟,可獲得具有延伸區 之源極/汲極區13及矽化物層13 A(低電阻層)。夹置在源極/ 汲極區13之延伸區間的區用作通道形成區12。以此方式, 可獲得在圖1A中顯示的狀態。 之後,由Si〇2組成之絕緣層21係橫跨整個表面形成,而 後實行基於CMP之平坦化處理,以因而移除絕緣層21之一 部分及硬遮罩16(且取決於情況,另外虛設多晶矽層15之 一部分及側壁膜1 7的一部分)。透過此步驟,可獲得在圖 1B中顯示的狀態。 其後,曝露之虛設閘電極15,係藉由蝕刻移除,其中係 使用一氟自由基或類似者,且虛設閘絕緣膜14係藉由使用 例如稀釋氫氟酸之座式餘刻移除。因此,可獲得在圖1 c 中顯示的狀態。 其後’閘絕緣膜30係形成在透過閘電極形成開口 22之底
體係用作來源氣體。或 128393.doc 施例中,最初該 [極形成開口 22之底部及閘電 之通道形成區12上。明確言 nm之厚度之閘絕緣膜30係橫 。此閘絕緣膜30可基於例如 -19· 200845206 者,其可藉由形成一鈐膜形成,該鈴膜係基於使用一铪目 標之濺鍍而後使該铪膜氧化來形成。或者,其更可基於 ALD形成。 [步驟110] 在閘絕緣膜30之形成後,閘電極23係藉由將一導電材料 層埋入閘電極形成開口 22中來形成。在第一具體實施例 中,閘電極23係由功函數控制層31(其由一導電材料(金屬 材料)組成),及由一不同於功函數控制層31之導電材料(金 屬材料)組成的導電材料層32形成。因此,明確言之,由 矽化铪(HfSix)組成且具有15 nm之厚度之功函數控制層” 係彔初基於錢錢橫跨整個表面形成(明確言之,在閘絕緣 膜30上)(參見圖1E)。 之後,閘電極形成開口 22之剩餘部分係用導電材料層32 填充’因此獲得由功函數控制層3 1及導電材料層32形成的 閘電極23。更明確言之,最初一由TiN組成之阻障層(未顯 示)係基於濺鍍橫跨整個表面形成。具有1〇 nm之厚度之阻 障層可基於CVD、濺鍍、或ALD(其中係交替使用一nh3氣 體及一 TiCU氣體)來形成。之後,由鎢組成及具有〇2 之厚度之導電材料層32係基於所謂毯覆式鎢CVD橫跨整個 表面形成。其後,基於CMP之平坦化處理係實行,以移除 在絕緣層21及側壁膜17上之導電材料層32、阻障層、功函 數控制層31、及閘絕緣膜30(參見圖1F)。以此方式,可獲 得閘電極23。閘電極23係形成在通道形成區12上,其中閘 絕緣膜30之中間介於其間及係由功函數控制層μ、阻障 128393.doc -20- 200845206 層、及導電材料層32形成。 [步驟120] 在形成閘電極23後,移除絕緣層21(參見圖1G)。明確言 之,絕緣層21可基於乾式蝕刻移除,其中使用C4F8氣體及 Ar氣體。 [步驟130] 之後,第一層間絕緣層41及第二層間絕緣層42係橫跨整 個表面順序地沈積。明確言之,第一層間絕緣層41及第二 層間絕緣層42係順序地沈積在閘電極23、側壁膜1 7及源極/ 汲極區13(更明確言之,矽化物層13A)上。其後,實行第 二層間絕緣層42之平坦化處理。結果,可獲得在圖中顯 不之結構。第一層間絕緣層41係在不含氧原子的沈積蒙氣 中沈積。第二層間絕緣層42係在包含氧原子的沈積蒙氣中 沈積。更明確言之,第一層間絕緣層41係基於CVD沈積, 其中係使用具有一既不含氧原子亦不含氧分子之組成物的 來源氣體,而後第二層間絕緣層42係基於CVD沈積,其中 使用具有一含氧原子或氧分子之組成物的來源氣體。膜沈 積條件的範例係顯示在表1及2中。 [表1] 基於電漿C VD之第一層間絕緣層4丨的膜沈積之條件: 來源氣體:SiH4/NH3/N2 = 30至 800 sccm/30至 800 sccm/3〇〇〇 至 5000 seem 溫度:4 0 0 °C或更低 壓力:4xl02 卩3至 le3xl〇3 Pa 128393.doc 200845206 [表2] 基於電漿TEOS-CVD之第二層間絕緣層42的膜沈積之條 件: 來源氣體:TEOS 氣體 /〇2 = 500 至 1〇〇〇 sccm/4〇〇 至 ι〇〇〇 seem • 溫度·· 400°C或更低 . 壓力:4xl〇2 Pa至 1·3χ103 Pa [步驟140] " 在沈積層41及42之後,基於微影蝕刻及乾式蝕刻,接點 插塞形成開口 43A及43B係形成在閘電極23上及源極/汲極 區1 3上之第一層間絕緣層41及第二層間絕緣層42中。其 後,一由Ti(下層)/TiN(上層)之多層結構形成的第二阻障層 (未顯示)係基於濺鍍橫跨整個表面形成,而後一鶴層係使 用WF6氣體、札氣體及SiH4氣體(在4〇〇。(:之沈積溫度處)基 於毯覆式鶴CVD橫跨整個表面形成。其後,實行基於CMp 的平坦化處理’因此接點插塞44A及44B可在接點插塞形 ^ 成開口 43A及43B中形成(參見圖H)。之後,互連件等等 (未顯示)係根據需要在第二層間絕緣層42上形成,因此可 完成第一具體實施例之絕緣閘場效電晶體。 在第一具體實施例中,第一層間絕緣層41係在[步驟 13 0]中於不含氧原子的沈積蒙氣中沈積。此特性可確定地 防止發生面對閘電極23的該基底(矽半導體基板n)之一部 分的氧化之現象,且因此可確定地避免絕緣閘場效電晶體 之特徵的退化的問題(例如降低閘電容)的發生。此外,閘 128393.doc -22- 200845206 電極2 3 (層間絕緣層4 i及4 2的組態)上之組件的組態係與源 極/汲極區13(層間絕緣層41及42之組態)上的組件相同。因 此,在[步驟140]中,接點插塞形成開口 43a及43B可易於 形成用於供應接點插塞44A及44B,用於閘電極23及源極/ >及極區13。 [第二具體實施例] 第二具體實施例係第一具體實施例之修改。在第二具體 實施例中,絕緣層係由一下絕緣層21A及一形成在此下絕 緣層21A上之上絕緣層21B形成。下絕緣層21A覆蓋至少源 極/汲極區13(明確言之,源極/汲極區13及側壁膜17)。在 移除絕緣層之步驟中,移除上絕緣層2 1 b而留下下絕緣層 21A。下絕緣層21A係由與第一層間絕緣層41相同之材料 組成,明確言之係SiN。上絕緣層21B係由與第二層間絕緣 層42相同之材料組成,明確言之係Si〇x(x=2)。至於用於 由SiN組成之下絕緣層21A的膜沈積條件,可使用與表1中 顯示的相同條件。用於由8丨〇2組成之上絕緣層21B的膜沈 積條件之範例係顯示在表3及4中。 [表3] 基於高密度電漿CVD之上絕緣層21B的膜沈積之條件: 來源氣體:SiH4/02/Ar(或 He 或 H2) = 8 至 120 sccm/ΙΟ 至 240 sccm/10至 120 seem 溫度· 400 C或更低 壓力:4xl02 Pa至 l.3xl〇3 Pa [表4] 128393.doc -23- 200845206 基於〇3-TEOS-CVD之下絕緣層21B的膜沈積之條件: 來源氣體:藉由在一以5至10公升/分鐘之流量供應的ο]及 〇3之混合氣體中,混合一 10至15 wt·%之TEOS氣體(以5〇〇 至1000毫克/分鐘之流量供應)。 溫度:450°C或更低 壓力:6·7χ103 Pa 至 9.3xl04 Pa • 以下將參考圖2A至21描述根據第二具體實施例製造絕緣 閘場效電晶體的方法,圖2A至21係矽半導體基板等等之示 ί 1 意性部分端視圖。 [步驟200] 最初,所製備之一基底10包括源極/汲極區13、通道形 成區12、在通道形成區12上形成之閘絕緣膜30、覆蓋源極/ 汲極區13之絕緣層21Α及21Β、及在通道形成區12上之絕 緣層2 1A及21B的部分中提供之閘電極形成開口 22。 明確言之,最初會實行與第一具體實施例中之[步驟 100]的先前階段之相同步驟,以獲得在圖1A中顯示的狀 I 態。其後,由SlN組成且係用作一襯裡層之下絕緣層21 a係 基於表1中所例示的膜沈積條件橫跨整個表面藉由cvd沈 積。因此,可獲得在圖2A中顯示的狀態。其後,由以〇2組 • 成之上絕緣層21]8係基於表3或4中例示之膜沈積條件橫跨 整個表面沈積,而後實行基於CMp之平坦化處理,以因而 移除上絕緣層21B之一部分、下絕緣層21A之一部分、及 硬遮罩16(且取決於情況,另外該虛設多晶矽層^之一部 分及側壁膜1 7的一部分)。透過此步驟,可獲得在圖中 128393.doc -24- 200845206 顯示的狀態。 其後,曝露之虛設閘電極15,係藉由蝕刻移除,其中係 使用一氟自由基或類似者,且虛設閘絕緣膜丨4係藉由使用 例如稀釋氫氟酸之溼式蝕刻移除。因此可獲得在圖2c中顯 示的狀態。 其後’類似於第一具體實施例之[步驟丨00],閘絕緣膜 3 0係形成在透過閘電極形成開口 22之底部曝露的通道形成 區12上(參見圖2D)。 [步驟210] 之後’閘電極23係藉由用功函數控制層3丨及導電材料層 32填充閘電極形成開口 22來形成(參見圖2E及2F)。閘電極 23係由功函數控制層3 1、一阻障層(未顯示)及導電材料層 32形成,類似於第一具體實施例。 [步驟220] 其後,上絕緣層21B係以類似於第一具體實施例之[步驟 12〇]移除(參見圖2G)。下絕緣層21A係留下。 [步驟230] 之後,第一層間絕緣層41及第二層間絕緣層42係橫跨整 個表面順序地沈積,類似於第一具體實施例之[步驟13〇]。 明確言之,第一層間絕緣層41及第二層間絕緣層42係順序 地沈積在閘電極23、側壁膜上17及下絕緣層21 a上。其 後’實行第二層間絕緣層42之平坦化處理。結果,可獲得 在圖2H中顯示之結構。 [步驟240] 128393.doc -25- 200845206 之後’形成接點插塞44A及44B,類似於第一具體實施 例之[步驟140](參見圖21)。其後,互連件等等(未顯示)係 根據需要在第二層間絕緣層42上形成,因此可完成第二具 體實施例之絕緣閘場效電晶體。 另外在第二具體實施例中’第一層間絕緣層4 1係在[步 驟23 0]中於不含氧原子的沈積蒙氣中沈積。此特性可確定 地防止發生面對閘電極23的該基底(矽半導體基板n)之一 部分的氧化之現象,且因此可確定地避免絕緣閘場效電晶 體之特徵的退化的問題(例如降低閘電容)的發生。此外, 閘電極23(層間絕緣層41及42的組態)上之組件的組態係與 源極/汲極區13(絕緣層21A+層間絕緣層41及42之組態)上 的組件相同。因此,在[步驟24〇]中,接點插塞形成開口 43八及438可易於形成用於供應接點插塞44八及448,用於 閘電極23及源極/汲極區。此外,在[步驟22〇]中,移除 上絕緣層21B而留下該下絕緣層21A。因此,在此絕緣層 移除中,源極/汲極區13不會發生損害。再者,可使下絕 緣層21A功能成為一襯裡層,且因此可將應力施加至通道 形成區12。結果,可提升絕緣閘場效電晶體之驅動能力。 [第三具體實施例] 第二具體實施例係關於一種根據本發明的第二模式製造 絕緣閘場效電晶體之方法。 如圖3B之示意性部分端視圖顯示,一種藉由根據第三具 體實施例製造絕緣閘場效電晶體之方法所獲得的絕緣閘場 效電晶體亦包括(A)源極/汲極區13及一通道形成區i2,(b) 128393.doc -26- 200845206 一形成在通道形成區12上之閘電極23,及(C)一閘絕緣膜 30 ° 在第三具體實施例中,一由氮化矽(SiN)組成之第一層 間絕緣層41係沈積(形成)在一絕緣層21、一側壁膜17及閘 電極23上,不同於第一具體實施例。在第一層間絕緣層41 上,沈積(形成)一由氧化矽(SiOx,如X=2)組成之第二層間 絕緣層42。此外,一接點插塞形成開口 43 A係提供在位於 通道形成區12上之第一層間絕緣層41及第二層間絕緣層42 的部分上。在此接點插塞形成開口 43 A中,提供一由鎢組 成及連接至閘電極23之頂部之接點插塞44A。此外,接點 插塞形成開口 43B係提供在位於源極/汲極區13上之絕緣層 2 1、第一層間絕緣層41、及第二層間絕緣層42之部分上。 在此等接點插塞形成開口 43B中,提供由鶴組成及連接至 源極/沒極區1 3之石夕化物層1 3 A的接點插塞44B。 以下將參考圖3A至3B描述根據第三具體實施例製造絕 緣閘場效電晶體的方法,圖3A至3B係矽半導體基板等等 之示意性部分端視圖。 [步驟300] 最初,類似於第一具體實施例之[步驟丨〇〇],所製備之 一基底10包括源極/汲極區13、通道形成區12、在通道形 成區12上形成之閘絕緣膜3〇、由Si〇2組成及覆蓋源極/汲極 區13之絕緣層21、及在通道形成區12上之絕緣層21的一部 分中提供之閘電極形成開口 22。明確言之,實行與第一具 體實施例之[步驟100]相同的步驟。更明確言之,在獲得圖 128393.doc -27- 200845206 1 A中顯示的狀態後,由Si02組成之絕緣層2 1係橫跨整個表 面形成’而後實行基於CMP之平坦化處理,以因而移除絕 緣層2 1之一部分及硬遮罩1 6(且取決於情況,另外一虛設 多晶矽層1 5之一部分及側壁膜丨7的一部分)。因此,可獲 得在圖1B中顯示的狀態。其後,曝露之虛設閘電極1 5,係 藉由餘刻移除’其中使用一氟自由基或類似者,且虛設閘 絕緣膜14係藉由使用例如稀釋氫氟酸之溼式蝕刻移除。因 此可獲得在圖1 C中顯示的狀態。其後,閘絕緣膜3〇係在透 過閘電極形成開口 22曝露的通道形成區12上形成(參見圖 1D)。之後,閘電極23係藉由用功函數控制層3丨及導電材 料層32填充閘電極形成開口 22來形成,類似於第一具體實 施例之[步驟1 1〇](參見圖1E及1F)。閘電極23係由功函數控 制層31、一阻障層(未顯示)及導電材料層32形成,類似於 第一具體實施例。 [步驟310] 在形成閘電極23後,無須移除絕緣層21 (不同於第一具 體實施例),一第一層間絕緣層41及第二層間絕緣層42係 類似於第一具體實施例之[步驟13〇]橫跨整個表面順序地沈 積,即在絕緣層21、側壁膜17及閘電極23上(參見圖3A)。 [步驟320] 其後,接點插塞44A及44B係形成在接點插塞形成開口 43A及43B中,類似於第一具體實施例之[步驟14〇](參見圖 3B)。之後,互連件等等(未顯示)係根據需要在第二層間絕 緣層42上形成,因此可完成第三具體實施例之絕緣閘場效 128393.doc -28- 200845206 電晶體。 在第一具體實施例中,第一層間絕緣層41係在[步驟 3 1 〇]中沈積於不含氧原子的沈積蒙氣中。此特性可確定地 防止發生面對閘電極23的該基底(矽半導體基板u)之一部 分的氧化之現象,且因此可確定地避免該絕緣閘場效電晶 體之特徵的退化的問題(例如降低閘電容)的發生。 . [第四具體實施例] 第四具體實施例係第三具體實施例之修改。在第四具體 ί 實施例中,絕緣層係由一下絕緣層21A及一形成在此下絕 緣層21A上之上絕緣層21B形成。下絕緣層21A覆蓋至少源 極/汲極區13(明確言之,源極/汲極區13及側壁膜17)。下 絕緣層21A係由與第一層間絕緣層41相同的材料(明確言之 SiN)組成。上絕緣層21係由與第二層間絕緣層42相同的材 料組成’明確言之係SiOx(X=2)。至於用於由SiN組成之下 絕緣層21A的膜沈積條件,可使用與表ί中顯示的相同條 件。至於用於由Si〇2組成之上絕緣層21B的膜沈積條件, I 可使用與表3或4中顯示的相同條件。 以下將參考圖4A至4B描述根據第四具體實施例製造絕 , 緣閘場效電晶體的方法,圖4 A至4B係矽半導體基板等等 之不意性部分端視圖。 [步驟400] 最初,類似於第二具體實施例之[步驟200],所製備之 基底10包括源極/汲極區13、通道形成區12、在通道形成 & 12上形成之閘絕緣膜3 0、覆盍源極/汲極區13之絕緣層 128393.doc -29- 200845206 21A及21B、及在通道形成區12上之絕緣層21A及21B的部 分中提供之閘電極形成開口 22(參見圖2A、2B、2C及 2D)。之後,類似於第一具體實施例之[步驟丨丨〇],閘電極 23係藉由用功函數控制層3 1及導電材料層32填充閘電極形 成開口 22來形成(參見圖2E及2F)。 [步驟410] 其後,第一層間絕緣層41及第二層間絕緣層42係橫跨整 個表面順序地沈積,類似於第三具體實施例之[步驟3丨〇]。 明確言之,第一層間絕緣層41及第二層間絕緣層42係順序 地沈積在閘電極23、側壁膜1 7及上絕緣層2 1B上(參見圖 4A) 〇 [步驟420] 之後’接點插塞44A及44B係類似於第一具體實施例之 [步驟140]來形成(參見圖4B)。其後,互連件等等(未顯示) 係根據需要在第二層間絕緣層42上形成,因此可完成第四 具體實施例之絕緣閘場效電晶體。 另外在第四具體實施例中,第一層間絕緣層41係在[步 驟4 10]中於一不含氧原子的沈積蒙氣中沈積。此特性可確 定地防止發生面對閘電極的該基底(矽半導體基板丨丨)之一 部分的氧化之現象,且因此可確定地避免絕緣閘場效電晶 體之特徵的退化的問題(例如降低閘電容)的發生。 此係本發明之較佳具體實施例之說明的結束。然而本發 明不叉限於此等具體實施例。在該等具體實施例中所述之 絕緣閘場效電晶體的結構及組態僅係範例及可任意地改 128393.doc -30- 200845206 變。此外’用於具體實施例中所述之絕緣閘場效電晶體的 製造條件等等亦僅係範例及可任意地改變。 雖然第一至第四具體實施例係應用於一 η通道絕緣閘場 效電晶體,但亦可將該等具體實施例應用於一 ρ通道絕緣 閘%效電晶體。在此情況下,例如釕(Ru)或TiN的材料可 用作功函數控制層3 1。此外,亦業經提出一種其中功函數 值係藉由變化閘絕緣膜之材料而非變化閘電極的材料之方 法,用於允許閘電極具有一有利之功函數值(參考例如日 本專利特許公開第2006-24594號)。亦可將此方法應用於本 發明的具體實施例。 在具體實施例中,第一層間絕緣層係由SiN組成。或 者,其可藉由使用SiC形成。在基於CVD沈積由SiC組成之 第層間絕緣層的情況下’其中使用具有一既不含氧原子 亦不含氧分子的組成物之來源氣體,如以下沈積條件係可 用:一(SHASiH氣體、He氣體及NH3氣體之總流量係7〇〇 sum;溫度係400它或更低;且壓力係1 3><1〇2;^至1 Pa 〇 熟習此項技術者應明白可取決於設計要求及其他因素來 進行各種修改、組合、次組合及變更,只要其落在隨附申 請專利範圍或其等效者之範疇内。 【圖式簡單說明】 圖1A至II係一半導體基板等等之示意性部分端視圖,其
係用於解釋一種用於根據本發明之一第一具體實施例製造 絕緣閘場效電晶體的方法; X 128393.doc 31 200845206 圖2A至21係一半導體基板 其 造 奴寺專之不意性部分端視圖, 係用於解釋一種用於根攄太旅叫 根據本發明之一第二具體實施例製 絕緣閘場效電晶體的方法; 之示意性部分端視圖, 之一第三具體實施例製 圖3A及3B係一半導體基板等等 其係用於解釋一種用於根據本發明 造絕緣閘場效電晶體的方法; 圖4A及4B係-半導體基板等等之示意性部分端视圖, 其係用於解釋-種用於根據本發明之—第四具體實施例製 造絕緣閘場效電晶體的方法;及 圖5A及5B係一半導體基板等等之示意性部分端視圖, 其係用於解釋一種用於製造絕緣閘場效電晶體的方法及該 方法之一問題。 【主要元件符號說明】 10 基底 11 矽半導體基板 12 通道形成區 13 源極/汲極區 13A 石夕化物層 14 虛設閘絕緣膜 15 虛設多晶層 15, 虛設閘電極 16 硬遮罩 17 側壁膜 21 絕緣層 128393.doc -32- 200845206 21A 下絕緣層 21B 上絕緣層 22 閘電極形成開口 23 閘電極 30 閘絕緣膜 • 30A 氧化部分 , 31 功函數控制層 32 導電材料層 q 41 第一層間絕緣層 42 第二層間絕緣層 43A 接點插塞形成開口 43B 接點插塞形成開口 44A 接點插塞 44B 接點插塞 142 層間絕緣層 128393.doc -33 -

Claims (1)

  1. 200845206 十、申請專利範圍: 1. 一種製造一絕緣閘場效電晶體之方法,該方法包含以 步驟: 下 (M製備一基底,其包括源極/汲極區、一通道带成 區、一形成在該通道形成區上之閘絕緣膜、一覆蓋兮等 ,源極/汲極區之絕緣層,及一在該通道形成區上之該絕緣 • 層的一部分中所提供之閘電極形成開口; (b) 藉由在該閘電極形成開口中埋入一導電材料層來带 , 成一閘電極; (c) 移除該絕緣層,及 (d) 橫跨一整個表面順序地沈積一第一層間絕緣層及一 第二層間絕緣層;其中 在該步驟(d)中,該第一層間絕緣層係在一不包含氧原 子之沈積蒙氣中沈積。 2·如請求項1之製造絕緣閘場效電晶體的方法,其中 在該步驟(d)中,該第二層間絕緣層係在一包含一氧原 L 子的沈積蒙氣中沈積。 3 ·如請求項2之製造絕緣閘場效電晶體的方法,其中 該第一層間絕緣層係由一氮化矽或一碳化矽組成,及 該第二層間絕緣層係由一氧化矽組成。 • 4·如請求項1之製造絕緣閘場效電晶體的方法,其中 該絕緣層係由一下絕緣層及一形成在該下絕緣層上之 上絕緣層形成, 該下絕緣層覆蓋至少該等源極/汲極區,及 128393.doc 200845206 在該步驟(C)中,移除該上絕緣層,且留下該下絕緣 層。 5·如請求項4之製造絕緣閘場效電晶體的方法,其中 該下絕緣層係由與該第一層間絕緣層之一材料相同的 該材料組成,及 該上絕緣層係由與該第二層間絕緣層之一材料相同的 該材料組成。 6·如請求項5之製造絕緣閘場效電晶體的方法,其中 該第一層間絕緣層及該下絕緣層係由一氮化石夕或一碳 化矽組成,及 及第_層間絕緣層及該上絕緣層係由一氧化石夕組成。 7·如請求項1之製造絕緣閘場效電晶體的方法,其中 在4步驟(d)中’該第一層間絕緣層係基於化學汽相沈 積來沈積,其中使用一具有一既不包含一氧原子亦不包 含一氧分子之組成物的來源氣體。 8·如請求項1之製造絕緣閘場效電晶體的方法,其中 在該步驟(d)中,該第二層間絕緣層係基於化學汽相沈 積來沈積,其中使用一具有一包含一氧原子或一氧分子 之組成物的來源氣體。 9·如請求項1之製造絕緣閘場效電晶體的方法,其中 s亥導電材料層係由鶴組成。 1〇· 一種製造一絕緣閘場效電晶體之方法,該方法包含以下 步驟: (a)製備一基底,其包括源極/汲極區、一通道形成 128393.doc 200845206 區、一形成在該通道形成區上之閘絕緣膜、一覆蓋該等 源極/汲極區之絕緣層,及一在該通道形成區上之該絕緣 層的一部分中所提供之閘電極形成開口; (b) 藉由在該閘電極形成開口中埋入一導電材料層來形 成一閘電極;及 (c) 橫跨一整個表面順序地沈積一第一層間絕緣層及一 第二層間絕緣層,其中 在該步驟(c)中,該第一層間絕緣層係在一不包含氧原 子之沈積蒙氣中沈積。 11 ·如請求項1 〇之製造絕緣閘場效電晶體的方法,其中 在該步驟(c)中,該第二層間絕緣層係在一包含一氧原 子的沈積蒙氣中沈積。 12·如請求項11之製造絕緣閘場效電晶體的方法,其中 邊弟一層間絕緣層係由一氮化石夕或一碳化石夕組成,及 該弟二層間絕緣層係由一氧化石夕組成。 1 3 ·如請求項1 〇之製造絕緣閘場效電晶體的方法,其中 該絕緣層係由/下絕緣層及一形成在該下絕緣層上之 上絕緣層形成,及 該下絕緣層覆蓋至少該等源極/汲極區。 14·如請求項丨3之製造絕緣閘場效電晶體的方法,其中 該下絕緣層係由與該第一層間絕緣層之一材料相同的 該材料組成,及 該上絕緣層係由與該第二層間絕緣層之一材料相同的 該材料組成。 128393.doc 200845206 15. 16. Γ 17. 18. 19. Lj 20. 如請求項14之製造絕緣閘場效電晶體的方法,其中 該第一層間絕緣層及該下絕緣層係由一氮化矽或一碳 化石夕組成,及 該第二層間絕緣層及該上絕緣層係由一氧化矽組成。 如請求項1 0之製造絕緣閘場效電晶體的方法,其中 在該步驟(c)中,該第一層間絕緣層係基於化學汽相沈 積來沈積,其中使用一具有一既不包含一氧原子亦不包 含一氧分子之組成物的來源氣體。 如請求項1 〇之製造絕緣閘場效電晶體的方法,其中 在該步驟(C)中,該第二層間絕緣層係基於化學汽相沈 積來沈積,其中使用一具有一包含一氧原子或一氧分子 之組成物的來源氣體。 如凊求項10之製造絕緣閘場效電晶體的方法,其中 該導電材料層藉由鎢組成。 如清求項10之製造絕緣閘場效電晶體的方法,其中 在該步驟(b)中,平坦化處理係在該導電材料層橫跨一 整個表面形成後實行,以被埋入該閘電極形成開口中, 以因而在該閘電極形成開口中形成該閘電極。 一種絕緣閘場效電晶體,其包含: 一基底,其經組態用以包括源極/汲極區、一通道形成 區、一形成在該通道形成區上之閘絕緣膜、一覆蓋該等 源極/汲極區之絕緣層,及一在該通道形成區上之該絕緣 層的一部分中所提供之閘電極形成開口; 一閘電極,其經組態用以藉由在該閘電極形成開口中 128393.doc 200845206 埋入一導電材料層來形成; 以在該絕緣層及該閘 一構成元素;及 以在該第一層間絕緣 一第一層間絕緣層,其經組態用 電極上形成,且不包含氧原子成為 一第二層間絕緣層,其經組態用 層上形成; 其中該第 素0 一層間絕緣層不包含氧原 子成為一構成元 21 ·如晴求項2 0之絕緣閘場效電晶體,其中
    該絕緣層包括一下絕緣層及一上絕緣層,及 該下絕緣層覆蓋至少該等源極/汲極區。 2 2 ·如请求項21之絕緣閘場效電晶體,其中 該基底進一步包括一側壁膜,其定羞# 八疋義4閘電極形成開 口之一側壁,及 該下絕緣層在該側壁膜之一側表面上延伸。 ί 128393.doc
TW097103815A 2007-02-15 2008-01-31 Method for manufacturing insulated gate field effect transistor TW200845206A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007035007A JP2008198935A (ja) 2007-02-15 2007-02-15 絶縁ゲート電界効果トランジスタの製造方法。

Publications (1)

Publication Number Publication Date
TW200845206A true TW200845206A (en) 2008-11-16

Family

ID=39705910

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097103815A TW200845206A (en) 2007-02-15 2008-01-31 Method for manufacturing insulated gate field effect transistor

Country Status (5)

Country Link
US (5) US8486789B2 (zh)
JP (1) JP2008198935A (zh)
KR (1) KR20080076832A (zh)
CN (1) CN101246850A (zh)
TW (1) TW200845206A (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7888220B2 (en) 2008-06-26 2011-02-15 Intel Corporation Self-aligned insulating etchstop layer on a metal contact
US7960802B2 (en) * 2008-11-21 2011-06-14 Texas Instruments Incorporated Methods to enhance effective work function of mid-gap metal by incorporating oxygen and hydrogen at a low thermal budget
JP5135250B2 (ja) * 2009-02-12 2013-02-06 株式会社東芝 半導体装置の製造方法
JP2010205782A (ja) * 2009-02-27 2010-09-16 Renesas Electronics Corp 半導体装置の製造方法
JP5668277B2 (ja) 2009-06-12 2015-02-12 ソニー株式会社 半導体装置
JP5452211B2 (ja) * 2009-12-21 2014-03-26 ルネサスエレクトロニクス株式会社 半導体装置、および、半導体装置の製造方法
KR101803730B1 (ko) 2010-04-09 2017-12-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
CN102420136B (zh) * 2010-09-25 2013-08-14 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
US8592266B2 (en) * 2010-10-27 2013-11-26 International Business Machines Corporation Replacement gate MOSFET with a high performance gate electrode
JP2012094762A (ja) * 2010-10-28 2012-05-17 Elpida Memory Inc 半導体装置および半導体装置の製造方法
US9142649B2 (en) * 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US9218975B2 (en) * 2012-08-17 2015-12-22 Globalfoundries Inc. Methods of forming a replacement gate structure having a gate electrode comprised of a deposited intermetallic compound material
US20140073106A1 (en) 2012-09-12 2014-03-13 International Business Machines Corporation Lateral bipolar transistor and cmos hybrid technology
JP6133991B2 (ja) * 2013-08-30 2017-05-24 国立研究開発法人科学技術振興機構 ゲルマニウム層上に酸化ゲルマニウムを含む膜を備える半導体構造およびその製造方法
PL3403579T3 (pl) 2014-10-14 2020-10-19 Becton, Dickinson And Company Zarządzanie próbkami krwi z wykorzystaniem pianki o otwartych komórkach
US9892958B2 (en) * 2014-12-02 2018-02-13 Globalfoundries Inc. Contact module for optimizing emitter and contact resistance
KR102338319B1 (ko) 2015-09-25 2021-12-13 삼성전자주식회사 자기 메모리 장치 및 그 제조 방법
DE102017103464B4 (de) 2016-07-29 2021-09-30 Taiwan Semiconductor Manufacturing Co. Ltd. Design für ein metall-gate und einen kontaktstift und verfahren zu deren herstellung
US10516030B2 (en) * 2017-01-09 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
CN109559984B (zh) * 2017-08-21 2020-11-13 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
RU2711066C1 (ru) * 2019-03-05 2020-01-15 федеральное государственное автономное образовательное учреждение высшего образования "Южный федеральный университет" (Южный федеральный университет) Способ электрохимического осаждения легированных атомами переходных металлов кремний-углеродных пленок на электропроводящие материалы
CN117766586A (zh) * 2023-12-25 2024-03-26 上海陆芯电子科技有限公司 一种应变碳化硅场效应晶体管

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09321239A (ja) * 1996-05-30 1997-12-12 Hitachi Ltd 半導体集積回路装置の製造方法
JPH1117140A (ja) * 1997-06-25 1999-01-22 Sony Corp 半導体装置及びその製造方法
JP3616514B2 (ja) * 1998-11-17 2005-02-02 株式会社東芝 半導体集積回路及びその製造方法
US6849923B2 (en) * 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6171910B1 (en) 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
TW473829B (en) * 2000-07-25 2002-01-21 Ibm An improved method of depositing a conformal h-rich Si3N4 layer onto a patterned structure
WO2002011968A2 (en) 2000-08-04 2002-02-14 Woodbridge Foam Corporation Foam element having molded gas passageways and process for production thereof
JP3619772B2 (ja) * 2000-12-18 2005-02-16 株式会社東芝 半導体装置
US8749054B2 (en) * 2010-06-24 2014-06-10 L. Pierre de Rochemont Semiconductor carrier with vertical power FET module
US6686247B1 (en) * 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
JP4546201B2 (ja) 2004-03-17 2010-09-15 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2006040986A (ja) * 2004-07-23 2006-02-09 Sony Corp 固体撮像装置及びその製造方法
US7138323B2 (en) * 2004-07-28 2006-11-21 Intel Corporation Planarizing a semiconductor structure to form replacement metal gates
US7179755B2 (en) * 2004-12-30 2007-02-20 Intel Corporation Forming a porous dielectric layer and structures formed thereby
JP4982958B2 (ja) 2005-03-24 2012-07-25 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7317253B2 (en) * 2005-04-25 2008-01-08 Sony Corporation Cobalt tungsten phosphate used to fill voids arising in a copper metallization process
JP4626411B2 (ja) * 2005-06-13 2011-02-09 ソニー株式会社 半導体装置および半導体装置の製造方法
JP2007189193A (ja) * 2005-12-15 2007-07-26 Sony Corp 半導体装置および半導体装置の製造方法
US20070161214A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
JP2007193190A (ja) * 2006-01-20 2007-08-02 Sony Corp 平面型表示装置の駆動方法

Also Published As

Publication number Publication date
JP2008198935A (ja) 2008-08-28
US10505008B2 (en) 2019-12-10
US20130292748A1 (en) 2013-11-07
US20080197426A1 (en) 2008-08-21
KR20080076832A (ko) 2008-08-20
US8486789B2 (en) 2013-07-16
US11289581B2 (en) 2022-03-29
US20150084105A1 (en) 2015-03-26
CN101246850A (zh) 2008-08-20
US20200066863A1 (en) 2020-02-27
US20180269300A1 (en) 2018-09-20
US10014384B2 (en) 2018-07-03

Similar Documents

Publication Publication Date Title
TW200845206A (en) Method for manufacturing insulated gate field effect transistor
TWI508145B (zh) 製作替代金屬閘極及接觸金屬之結構及方法
US7977751B2 (en) Insulated gate field effect transistor and a method of manufacturing the same
US8586958B2 (en) Switching element and manufacturing method thereof
TW201015625A (en) Method of fabricating semiconductor device
US20130320414A1 (en) Borderless contacts for metal gates through selective cap deposition
TW200847331A (en) Semiconductor device and method of manufacturing the same
TW201123448A (en) Gate electrode for field effect transistor and field effect transistor
KR20070029840A (ko) 반도체 디바이스 제조 방법
TW200919640A (en) Semiconductor device and a method of manufacturing the same
JP5889171B2 (ja) 炭化珪素半導体装置及びその製造方法
TW201421550A (zh) 製造具有低電阻金屬閘極結構之積體電路的方法
TWI827712B (zh) 半導體裝置與其形成方法
TW201143094A (en) Field-effect transistor device having a metal gate stack with an oxygen barrier layer
JP5598145B2 (ja) 半導体装置の製造方法及び半導体装置
TW201013840A (en) Structure and process for conductive contact integration
JP5557632B2 (ja) 半導体装置およびその製造方法
JP5212278B2 (ja) 絶縁ゲート電界効果トランジスタ及びその製造方法
JP2007214436A (ja) 半導体装置の製造方法および半導体装置
TW201036071A (en) Metal gate transistor with barrier layer
JP2008103613A (ja) 半導体装置及びその製造方法
JPH11102877A (ja) 窒化金属変換方法および半導体装置の製造方法
WO2016157820A1 (ja) スイッチング素子、半導体装置、及びスイッチング素子の製造方法
JPWO2012074131A1 (ja) 半導体装置及びその製造方法
KR20090121477A (ko) 반도체 소자의 금속배선 형성방법