TW200305951A - Method for manufacturing semiconductor integrated circuit device and semiconductor integrated circuit device - Google Patents

Method for manufacturing semiconductor integrated circuit device and semiconductor integrated circuit device Download PDF

Info

Publication number
TW200305951A
TW200305951A TW091136326A TW91136326A TW200305951A TW 200305951 A TW200305951 A TW 200305951A TW 091136326 A TW091136326 A TW 091136326A TW 91136326 A TW91136326 A TW 91136326A TW 200305951 A TW200305951 A TW 200305951A
Authority
TW
Taiwan
Prior art keywords
insulating film
junction
film
interconnection
interconnect
Prior art date
Application number
TW091136326A
Other languages
English (en)
Inventor
Junji Noguchi
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of TW200305951A publication Critical patent/TW200305951A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Description

200305951 A7 五、發明說明(i) 發明領域 本舍明與製造半導體裝置之方法及半導體裝置技術 有關,尤其與適於製造具“互連結(包含主要由銅組 成之主導體膜)之半導體裝置之方法之技術及此半導體 5 裝置有關。 發明背景 連、、α、’α構之形成’係將接線材料埋入互連結開孔 中,諸如依歡為,’波紋技術,,(單波肢雙赦技術)之金屬 化技術,於絕緣膜中形成之互連結穿圳或孔。當以銅作為主接 10線材料時,與諸如1呂等金屬相較,其較易擴散至絕緣膜中。故 以缚障壁金屬_胁_嵌人互連結表面(絲面與側表 面)’以抑觀避免銅自嵌人互連結擴散至絕賴,造成嵌入 互連結與絕緣膜之直接接觸。或者,可於内有互連結開孔之絕 緣2上表面形成例如氮化石夕膜製之互連結罩絕緣膜,並以之 I5覆孤肷入互連結之上表面,藉此抑制或避免銅自欲入互連結之 上表面擴散至絕緣膜。 經濟部智慧財產局員Η消費合作社印製 波紋金屬化技術述如日本專利公開案第2000_323479號, 其中形成具相異表面高度之嵌入互連結結構、銅互連結及絕緣 膜。在日本專利公開案第111843/1999號中,揭示之嵌入互連 20、口、°構’係力甘欠入互連結中形成上表面高度低於絕緣膜之銅 層亚接著於所得錯齒部中埋入障壁絕緣膜。在曰本專利公開 案第50632/1998號中,揭示之嵌入互連結結構,係相對於絕 緣膜降低嵌入互連結之障壁金屬與銅層之上表面高度,並將障 壁緣膜埋入錯齒部中。在日本專利公開案第2〇〇〇_277612號 本纸張尺度適用中國國家標準(CNS)A4規格(2i〇x297公釐) 200305951 Α7
5 10 15 經濟部智慧財產局員工消費合作、社印製 20 言庚古τ藉由所形成之嵌人互連結之金屬膜與障壁金屬之表面 將w於、七、賴’而在化學力學拋光(CMP)後,避免殘留泥 術。在日本專利公開案第189602/1998號中,揭示形成 、’’、之上表面回度略高於絕緣膜,並環繞嵌入栓之技術。 發明概述 辦® ^研九、°果’本發明人發現上述採用銅做為主導 、一运之肷入互連結形成技術有下列問題。特別言之,杳 =銅作為接線材料時,時間相依介電崩潰(了咖 中期遠較其他金屬材料短(諸如铭或鶴)。此 :連結槽之更為窄化,有效電場強度隨之而: 來’就降低互連結電容觀點考量,傾向採用介 於矽氧化物之絕緣材料作為内層絕緣膜。低介^低 緣膜-般均具低介電崩潰,故使其不^ ㊉數絕 命期。 $待長TDDB生 本發明之一目的在提供得以改善以鋼做為主曾 之互連結間之介電崩潰強度之技術。 :、導體獏 自本文之描述及隨附圖式,即可明瞭本發明 及其它目的與新型特徵。 & 之上述 以下將簡述本申請案所揭本發明之典型發明概兄 在本發明中,構成互連結之主導體膜係由鋼形' 且其在電場密集部分,與周圍絕緣膜之拋光表面^成, 在本發明中,構成互連結之主導體膜係由鋼=離。 並環繞於電場密集處。 形成’ 本申請案提供之本發明包括: -4- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇χ297公釐) 200305951 A7 B7 五、發明說明(3 ) U)製造半導體裝置之方法,包括步驟: (a) 沉積一第一絕緣膜於一晶圓上; (b) 於該第-絕緣膜中形成_互連結開孔; ⑷在該互連結開孔中形成—互連結,其具-主要由_ 5 成之導體膜; 、 、⑷在該互連結·之開孔側上之料體叙—角落處男 成一錐形體;及 (e)於該第-絕緣駭該互連結上沉積—第二絕緣膜。 1f) e 如上述⑴中之方法,其中該錐形體為-赚 -係猎由在-氮氣、-氨氣或_氮兔氣體混合物之一環女 下,或在-採用氣體之電聚環境下,熱處理該晶圓形成。> (1-2)如上述⑴中之方法,進_步包括在步驟⑷姜 e)之間,使該第-絕緣膜及該互連結歷經還原電製處理。 15 ⑴)如上述(1_2)中之方法,其中該還原電聚處理〇 乳讀處理、氫電漿處理,或採用其處理之組合。 (⑷如上述⑴中之方法,其㈣修(a)州 經濟部智慧財產局員工消費合作社印製 (a1)沉積一相對厚絕緣膜;及 20 在步驟(a1)後,於其上沉積—相對薄絕緣膜,^ "電常數較該相對厚絕緣膜高。 =5)如上述(1_4)中之紋’其中該相對厚絕輸 介電常數較二氧化矽膜低。 (1 6)如上述(L4)中之方法,其中該相對 由碳化矽、碳氮化矽或氮氧化矽製成。 辱…、' 200305951 A7 五、發明說明(4) (1-7)如上述(1)中之方法,1中 堂無^ " 具宁忒弟一絕緣膜係介電 书數較二氧化矽膜低之單一物質絕緣膜。 (1 8)如上述⑴中之方法,其中該第二絕緣膜係由碳 化矽、碳氮化矽或氮氧化矽製成。 、人 5 ⑵-種製造半導體裝置之方法,包括步驟: (a) 沉積一第一絕緣膜於一晶圓上; (b) 於該第一絕緣膜中形成一互連結開孔; (c) 在該互連結開孔中形成一互連結,其具一第一導體膜 (具可抑制銅擴散之障壁性質)及—第二導體膜(主要由銅组 10 成); 、 (d) 在該互連結開孔之開孔側上之該第二導體膜之一角落 處形成一錐形體;及 (e) 於該第一絕緣膜及該互連結上沉積一第二絕緣膜。 15 )如上述(2)中之方法,其中該錐形體為一 圓錐形體,其係藉由在一氮氣、一氨氣或一氮-氨氣體 混合物之一環境下,或在一採用氣體之電漿環境下,熱 處理該晶圓形成。 經濟部智慧財產局員工消費合作社印製 (2_2)如上述(2)中之方法,進一步包括在步驟 20 (c)與(e)之間,使該第一絕緣膜及該互連結歷經還 原電漿處理。 (2-3)如上述(2-2)中之方法,其中該還原電漿 處理係氨電漿處理、氫電漿處理,或採用其處理之組 合。 (2_4 )如上述(2 )中之方法,其中該步驟(a ) -6- 本紙張尺度適用中國國家標準(CNS)A4規格(21Q χ 297公楚) 200305951 A7 5 10 15 經濟部智慧財產局員工消費合作社印製 20 發明說明(5) 包括子步驟: (a1)沉積一相對厚絕緣膜;及 (a2)在步驟(a1)後,於其上沉積_相對薄絕緣膜,其 介電常數較該相對厚絕緣膜高。 (2-5)如上述(2_4)中之方法’其中該相對厚絕緣膜之 介電常數較二氧化矽膜低。 (2-6)如上述(2-4)中之方法,兑φ兮士非 刀女具宁忒相對溥絕緣膜係 由碳化矽、碳氮化矽或氮氧化矽製成。 (2-7)如上述(2)中之方法,置ψ姑 ^ ,、中該弟一絕緣膜係介電 吊數較二氧化矽膜低之單一物質絕緣膜。 (2-8)如上述(2-7)中之方法,其中該步驟(c)包括 步驟: (c1)於該第-絕緣膜上及該互連結開孔中沉積 體犋; ^ (c2)於該第一導體膜上沉積該第二導體膜; (c3)選擇性抛光該第二導體膜,俾於該互連結開孔中留 下該第一及第二導體膜;及 ▲(⑷選擇性拋光該第—導體膜,俾於該互連結開孔中留 下該第一及第二導體膜,藉此形成該互連結。 (2-9)如上述(2_8)中之方法,其中在該拋光步驟 C3)中採用之研磨料之量為〇或少於在該拋光步驟(μ 採用者。 (2-10)如上述⑵中之方法,其中該第二絕緣膜係由碳 化石夕、碳氮化矽或氮氧化矽製成。 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公餐) 200305951 A7 B7 五、發明說明(6) (2-11)如上述(2)中之方法,其中該第二絕緣膜係一薄 片膜,其係藉由沉積一第三絕緣膜於該第一絕緣膜及該互連結 上所得,俾使該第一導體膜免於氧化,並接著採用一含氧氣 體,以化學蒸氣沉積沉積一第四絕緣膜於該第三絕緣膜上。 5 (2-12)如上述(2-11)中之方法,其中該第三絕緣膜係 由氮化矽製成。 (2-13)如上述(2-11)中之方法,其中該第三絕緣膜係 由碳化矽或碳氮化矽製成。 (2-14)如上述(2-11)中之方法,其中該第四絕緣膜係 10採用一含三甲基氧矽烷及氧化氮之氣體混合物,以化學蒸氣沉 積製成之氮氧化石夕。 (2-15)如上述(2-11)中之方法,其中該第三絕緣膜較 該第四絕緣膜薄。 (3) —種製造半導體裝置之方法,在一晶圓上形成之各複 15數個互連結層之金屬化中,包括: (a) 沉積一第一絕緣膜於一晶圓上; _ (b) 於該第一絕緣膜中形成一互連結開孔; 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 (c) 在該互連結開孔中形成一互連結,其包含一第一導體 膜(具可抑制銅擴散之障壁性質)及一第二導體膜(主要由銅 20 組成);及 (d) 於該第一絕緣膜及該互連結上沉積一第二絕緣膜, 其中在該等複數個互連結層中,在相對接近該晶圓之主表 面之互連結層之金屬化步驟中,該方法進一步包括在該等步驟 (c)與(d)之間,在該互連結開孔之開孔側上之該第二導體 200305951 A7
膜之一角落處形成一錐形體之步驟,及 其中在該等複數個互連結層中,在相對遠離該晶圓之主表 面之互連結層之金屬化步驟中,未形成該錐形體而沉積該第二 絕緣膜。 (4) 一種製造半導體裝置之方法,在晶圓上形成之至少複 數個互連結層之二之金屬化中,包括: (a) 沉積一第一絕緣膜於一晶圓上; (b) 於該第一絕緣膜中形成一互連結開孔; 10 (c) 在5亥互連結開孔中形成一互連結,其包含一第一導體 膜(具可抑制銅擴散之障壁性f)及—第二導體膜(主要由銅 組成);及 (d) 在该互連結開孔之開孔側上之該第二導體膜之一角落 處形成一錐形體;及 15 (e) 於該第-絕緣膜及該互連結上沉積—第二絕緣膜, 其中在該等複數個互連結層中,在相對接近該晶圓之主表 經濟部智慧財產局員工消費合作社印製 面2連結層之互連結中形成之該第二導體膜之該錐形體大於 在鱗複數個互連結層中,在相對遠離該晶圓之主表面之互連 結層之互連結中之該第二導體膜之該錐形體。 20 (5) -難辭導财置之方法,在關上形成之各複數 個互連結層之金屬化中,包括·· (a) >儿積一第一絕緣膜於一晶圓上,· (b) 於该第一絕緣膜中形成一互連結開孔; (C)在該互連賴孔巾形成—互連結,其包含—第一導體 膜(具可抑制銅擴散之障壁性質)及一第二導體臈(主要由銅 _ -9-
5 張&用 X 29;liT 200305951 A7 B7
五、發明說明(8 ) 組成);及 (d)於^亥第一絕緣膜及該互連結上沉積一第二絕緣膜, 其中在該等複數個互連結層中,在相對接近該晶圓之主表 面之互連結層之金屬化形成步驟中,該方法進一步包括在該等 5步驟(C)與(d)之間,在沉積該第二絕緣膜之一側上之該第 -導體膜之第-表面與在被沉積該第二絕_之—側上之該第 -導體膜之第二表面間形成—步階差之步驟,及 其中在5亥等複數個互連結層中,在相對遠離該晶圓之主表 面之互連結層之金屬化步驟中,在未形成該步階差之步驟下, 10沉積該第二絕緣膜。 (6) —種製造半導體裝置之方法,在晶圓上形成之至少複 數個互連結層之二之金屬化中,包括: (a) 沉積一第一絕緣膜於一晶圓上; (b) 於該第一絕緣膜中形成一互連結開孔; 15 (c)在該互連結開孔中形成一互連結,其包含一第一導體 膜(具可抑制銅擴散之障壁性質)及一第二導體膜(主要由銅 組成);及 經 濟 部 智 慧 財
I 消 費 合 作 社 印 製 20 (d) 在被沉積一第二絕緣膜之一側上之該第二導體膜之第 一表面與在被沉積該第二絕緣膜之一側上之該第一導體膜之第 二表面間形成一步階差;及 (e) 於該第一絕緣膜及該互連結上沉積該第二絕緣膜, 其中在該等複數個互連結層中,在相對接近該晶圓之主表 面之互連結層之互連結中形成之該第二導體膜之該步階差大於 在相對遠離該晶圓之主表面之互連結層之互連結中之該第二導 -10- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇χ297公楚) 200305951 A7
體膜之該步階差。 (6-1)如上述(6)中之方法,其中: 步驟⑷係選擇性侧該第三導體膜之第_表面之一表面 層,,於該晶圓之主表面之—方向中,形成相對於該第一絕緣 5膜之第二表面凹陷之該第二導體膜之第一表面之步驟。 ⑺-種製造半導體裝置之方法,在晶圓上形成 之各複數個互連結層之金屬化中,包括: (a) 沉積一第一絕緣膜於一晶圓上; (b) 於該第一絕緣膜中形成一互連結開孔; 10 (c)在該互連結開孔中形成一互連結,其包含一第一導體 膜(具可抑制銅擴散之障壁性質)及一第二導體膜(主要由銅 組成);及 (d)於該第一絕緣膜及該互連結上沉積一第二絕緣膜, 經濟部智慧財產局員工消費合作社印製 其中在該等複數個互連結層中,在相對接近該晶圓之主表 15面之互連結層之金屬化步驟中,該方法進一步包括在該等步驟 (C)與(d)之間,在被沉積該第二絕緣膜之一側上之該等第 及弟一$體膜之弟^一表面與在被沉積該第二絕緣膜之一側上 之該第一導體膜之第二表面間形成一步階差之步驟,及 其中在該等複數個互連結層中,在相對遠離該晶圓之主表 20面之互連結層之金屬化步驟中,在未形成該步階差下,沉積該 第二絕緣膜。 (8) —種製造半導體裝置之方法,在晶圓上形成之至少複 數個互連結層之二之金屬化中,包括: (a)沉積一第一絕緣膜於一晶圓上; -11- 本纸張尺度適用中國國家標準(CNS)A4規格(21〇χ297公釐) 200305951 五、發明說明(1Q) 5 10 15 經濟部智慧財產局員工消費合作社印製 20 (b)於該第-絕緣财形成—互連結開孔; ^ ()在°亥互連結開孔中形成一互連結,其包含一第一導體 膜(具可抑制鋼擴散之障壁性質)及一第二導體膜(主要由銅 組成);及 _、(d)在破沉積一第二絕緣膜之一側上之該等第一及第二導 體膜之第表面與在被沉積該第二絕緣膜之—側上之該第一絕 緣膜之第二表面間形成-步階差;及 (e)於該第一絕緣膜及該互連結上沉積該第二絕緣膜, 其中在該等複數個互連結層中,在相對接近該晶圓之主表 連…層之互連結中形成之該步階差大於在該等複數個互 連、° g中在相對返離該晶圓之主表面之互連結層之互連結中 之該步階差。 (8_1)如上述(8)中之方法,其中: 步驟(d)係選擇性蝕刻該等第一及第二導體膜之第一表面 2表面層,俾於該晶圓之主表面之一方向中,形成相對於該 第一、纟巴緣膜之拋光表面凹陷之該等第一及第二導體膜之第一表 面之步驟。 (8-2)如上述(8)中之方法,其中·· 步驟(d)係選擇性蝕刻該第一絕緣膜之第二表面,俾於遠 離該晶圓之主表面之-方向中,使該㈣—及第二導體膜之第 一表面相對於該第一絕緣膜之第二表面突出之步驟。 (9) 一種半導體裝置,包括·· (a) —互連結開孔,其係在一第一絕緣膜中形成; (b) 互連結,其係藉由在互連結開孔中埋入導体膜(具 -12- 200305951 A7 B7 五、發明說明 11 5 10 15 經濟部智慧財產局員工消費合作社印製 20 主要由銅組成之),且在互連結開孔之開孔側上之導體膜之一 角落處具一錐形體而形成;及 (C) 一第二絕緣膜,其係沉積於第一絕緣膜及互連結上。 (10) —種半導體裝置,包括: (a) —互連結開孔,其係在一第一絕緣膜中形成; (b) 互連結,其係藉由在互連結開孔埋入一第一導體膜 (具可抑制銅擴散之障壁性f)及—第二導體膜(主要由銅組 成)’且在互連結開孔之開孔側上之導體膜之一角落處具一錐 形體而形成;及 (c) 一第二絕緣膜,其係沉積於第一絕緣膜及互連結上。 (11) -種半導縣置,其具在_半導縣板上形成之複 數個互連結層,至少複數個互連結層之二包括: ⑷-互連結·,其係在_第_絕賴中形成; ⑻-互連結,其鋪由埋人_第_導體膜(具可抑制銅 擴散之障壁性質)及—第二導體膜(主要由銅組成)於互連社 開孔,且在互連賴孔之開關上之第二導體膜之—角落處且 一錐形體而形成;及(c)-第二絕緣膜,其係沉積於第_絕賴及互連結上, 其中: 在複數個互賴層巾,在相對麵铸縣板之主表面之 職—互賴,其_有纽連賴孔之開孔側上 之弟一V體膜之一角落處之一錐形體·及 互遠目互連闕巾,在相對細料縣板之主表面之 互連、、.。層中,未於第二導體膜切成錐频,但形成一互連 -13- ^張尺&適財_緖準(CNS)A4規格(210 x 297^jy 200305951 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(i2) 結,俾使所形成之被沉積第二絕緣膜之一側上 曾 第-表面位準大致等於在被沉積第 緣膜之第二表面位準。 j上之弟一絶 (12) -種半導體裝置,其具在_半 數個互連結層,至少複數個互連結層之二包括^形成之複 (a) -互連結開孔,其係在—第_絕緣膜中形成; (b) —互連結,其係藉由埋入一第一 , 擴散之障壁性質)及-第二導體膜(主要由銅組成)== 開孔,且在互連結開孔之開孔側上之第二導_之 ^ -錐形體而形成;及 月洛慝具 (c) -第二絕緣膜,其係沉積於第_絕緣膜及互連結上, 其中: 在複數個互連結層中,在相對接近晶圓之主表面之互伊 層之互連財之第二導龍之錐频大於在魏個互連⑼ 中’在相對遠離晶圓之主表面之互連結層之互連結中 ς 體膜之錐形體。 (13) -種半導體裝置,其具在_半導體基板上形成之複 數個互連結層,至少複數個互連結層之二包括: (a) —互連結開孔,其係在一第一絕緣膜中形成; ⑻-互連結,其係藉由埋人—第—導體膜(具可抑制銅 擴散之p爭壁性質)及—第二導體膜(主要由銅組成)於互連結 開孔而形成;及 σ (C) 一第二絕緣膜,其係沉積於第一絕緣膜及互連結上, 其中: "" 5 10 15 20 7^ 尺 準(cns)A4 規 -14- 200305951 13 五、發明說明 在複數個互連結層中,在相對接近半導體基板之主表面之 互連結層之互連結巾,於被沉積第二絕雜之_側上之第二導 一、之弟表面與被>儿積弟二絕緣膜之一側上之第一導體膜及 第一絕緣膜之第二表面間形成一步階差; 5 10 15 20 在複數個互連結層巾,在相對遠離半導縣板之主表面之 互連結層之互連結中,所形成之第二導體膜之第一表面位準大 致等於第—導難及第-絕緣膜之第二表面位準。 (14) -種半導體裝置,其具在-半導體基板上形成之複 數個互連結層,至少複數個互連結層之二包括: (a) —互連結開孔,其係在一第一絕緣膜中形成; ⑻-互連結,其係藉由埋人—第_導體膜(具可抑制銅 擴散之障壁性質)及一第二導體膜(主要由銅組成)於互連结 開孔,且在被沉積-第二絕緣膜之_側上之第二導體膜之第一 表面與在被沉積第二絕緣膜之一側上之第_導體膜及第一絕緣 膜之第二表面間具一步階差而形成;及 (C)沉積於第-絕緣膜及互連結上之第二絕緣膜,其中: 在複數個互連結層中,在相對接近半導體基板之主表面之 互連結層之互連結中之步階差大於在複數個互連結層中,在相 對遠離半導體基板之主表面之互連結層之互連結中之步階差。 (14-1)如上述⑼中之半導體裳置,其中於半導體基 板之主表面之-方向中’藉由形成相對於第一導體膜及第一絕 緣膜之弟二表面凹陷之第二導體膜之第—表面而形成步階差。 (15) -種半導體裝置’其具在—半導體基板上形成之複 數個互連結層,至少複數個互連結層之二包括· -15- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇x297公^7 200305951 經濟部智慧財產局員工消費合作社印製 五、發明說明(M) =一互連結開孔,其係在—第—絕賴中形成; 擴散之障胃)及—弟二導體膜(主要由銅組成)於互連結 開孔而形成,具;及 其中·)第—纟巴緣膜’其係沉積於第-絕緣膜及互連結,、在複數個互連結層巾,在㈣接近半導體基板之主表面之 ^連結層之互連結巾,於被沉積第二絕緣膜之—側上之第一及 弟:導體膜之第-表面與被沉積第二絕緣膜之一側上之第一絕 緣膜之弟一表面間形成一步階差; 在複數個互賴層巾,在姆_轉體基板之主表面之 互連層之互連結中,所形成之第一及第二導體膜之第一表面位準大致等於第一絕緣膜之第二表面位準。(16) -種半導體裝置,其具在—半導體基板上形成之複 數個互連結層,至少複數個互連結層之二包括: (a) —互連結開孔,其係在一第一絕緣膜中形成; (b) —互連結,其係藉由埋入一第一導體膜(具可抑制銅 擴散之障壁性質)及一第二導體膜(主要由銅組成)於互連結 開孔,且在被沉積一第二絕緣膜之一側上之第一及第二導體膜 之苐一表面與在被沉積第二絕緣膜之一側上之第一絕緣膜之第 一表面間具一步階差而形成;及 (c) 沉積於第一絕緣膜及互連結上之第二絕緣膜,其中··在複數個互連結層中,在相對接近半導體基板之主表面之 互連結層之互連結中之步階差大於在複數個互連結層中,在相 -16- 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) 5 10 15 20 200305951 五、發明說明 15 5 10 15 經濟部智慧財產局員工消費合作社印製 20 對_=體基板之主麵之互連結層之互連結中之步階差。 曰由形成相對於第一絕緣膜之第一 面凹陷之第—及第二導體膜之第-表面而形成步階差。q圓之Γ:1):ΖΓ)中之半導體裝置’其中於遠離該晶於第—絕緣膜之第二表面突出而形面相對 圓式之簡單說明 為增進對本發明及其優點之完全瞭解 明暨隨附之圖示,其中: j卜夕】砰細呪 圖;圖1係供測量本發明之實施例之TDDB生命期之樣本平面 圖2係沿圖1之線B_B’截取之剖面圖; 圖3係沿圖1之線C_C,截取之剖面圖; 圖4係當採用圖1之樣本時,闡釋測量概要之簡圖; 圖5係依本發明之—實施例之半導體裝置之製造步驟流圖; ’丨L不王 圖6依本發明之—實_之铸縣板製妙驟_之局部 平面圖; ° 圖7係沿圖6之線Χ1-ΧΊ戴取之剖面圖; 圖8係在圖7之後,在半導體裝置製造步驟中,對應於圖5 之線Χ1-Χ1部份之剖面圖; 圖9係在圖8之後,在半導體褒置製造步驟中,對應於 之線Χ1-Χ1部份之剖面圖; β-17、 本紙張尺度適用中國國家標準(CNS)A4規格 200305951 A7
圖10係在圖9之後,在半導體裝置製造步驟中,對應於圖5 之線X1-X1部份之剖面圖; u、回 圖1*1係係在圖10之後’在半導體裝置製造步驟中,對應於 圖5之線X1_X1部份之剖面圖; “、 5 圖12係圖11之局部放大剖面圖; 圖13係在圖11與12之後’在半導體裝置製造步驟中,對應 於圖5之線X1-X1部份之剖面圖; 圖14係在圖13之後,在半導體裝置製造步驟中,對應於圖 5之線X1-X1部份之剖面圖; 10 目15係在®14之後,在半導縣置製造步驟中,對應於圖 5之線X1-X1部份之剖面圖; 圖16係在圖15之後,在半導體之製造步驟期間之片段平面 圚, 圖17係沿圖16之線X2-X2截取之剖面圖; 15 圖18係圖17之局部放大剖面圖; 圖19係依本發明之另一實施例之半導體裝置之製造步驟流 程圖; 經濟部智慧財產局員工消費合作社印製 圖20係依本發明之另一實施例,在半導體基板之製造夕驟 期間之局部剖面圖; 2〇 圖21係依本發明之又一實施例,在半導體基板之製造梦驟 期間之局部剖面圖; 圖22係依本發明之又一實施例,在半導體基板之製造沪麟 期間之局部剖面圖; 圖23概略闡釋依本發明之又一實施例之半導體裝置之肩部 -18- 本^規格⑽ x2贈)— 200305951 A7
圖24概略闡釋依本發明杂 知5之又一貫施例之半導體裝置之局部 剖面圖; 圖25概略閣釋依本發明之又—實施例之半導體裝置之局部 剖面圖, 圖26概略_依本發明之又—實施例之半導體裝置之局部 剖面圖,及 圖27概略_依本發明之又—實施例之半導體裝置之居部 剖面圖。 10 15 經濟部智慧財產局員工消費合作社印製 20 較佳實施例之詳細說明 在洋、、、田“述本申凊案之本發明前,先將描述實施例中採用 之各術語涵義。 1·本文採狀”時間相關介電崩潰(tddb)料期,,係指客 觀測量時間機介㈣潰之囉值,該術語係指在財溫度下 (例如1靴),在電_施加相#高電壓時,決定之時間(生 命期)’所緣圖中,描緣自電塵施加至介電崩潰之時間對施加 電場’並於圖巾外推實際電場強度(例如〇·2兆伏特/公分)。 圖1至3分別闡釋為測量TDDB生命期,在本申^刀案中使 用之樣本,其中圖彳係―平面圖,圖2及3分別為沿圖’之線 B-B’與C-C’截取之剖面圖。此樣本可於晶圓之測試設備群 (TEG)區中實際形成。如所示,在第二層互連結M2中形成 對類U大互連結L,並分別連接至最上層之塾pi、A? # 由施加電場於類梳狀互連結L間而測量電流。塾P1、 曰
曰 、係消J 虿端子。類梳狀互連結L寬度、兩相鄰互連結間距及其厚声岣 -19- 本纸張尺度適用中國國家標準(CNS)A4規格(210x297公釐)
為〇·5微米。所形成之互連結之面長為158χ1〇5微米。 圖4係為闡釋測量概要之簡圖。樣本為測量台s所支樓, 並將電流-電壓測錄置(I/V測量裝置)連接於墊P1與牙p2 間。加熱器Η將樣本台S加熱,俾調整樣本溫度至14叱。雖 5然定電壓施壓法與定電流施壓法均可測量τ_生命期,本發 明係採麟者,其巾所雜加於絕緣社平均電場為定值。: 施加電壓後,電流密度隨時間之流逝而降低,接著可觀察到電 流驟增(介電崩潰)。在此將漏電流密度達到,微安培/公分= 之時間定為TDDB生命期(在5兆伏特/公分之TD[)b生命 1〇期)。除非另外附註,文中採用之”TDDB”術語係指在〇2兆伏 特/公分下之崩潰時間(生命期),但更廣義言之,有時其係在 預定電場強度下崩潰之時間。除非另外附註,TDDB生命期係 才曰140C之樣本溫度。利用上述類梳狀互連結L測量T[)DB生 命期,但無需贅述,其即係實際互連結間崩潰生命期之寫照。 15 2·本文採用之’,《漿處理,,係指暴露基板表面之處理,或當在 經濟部智慧財產局員工消費合作社印製 基板上形成諸如絕緣膜或金屬膜等組件時,暴露組件表面於電 漿條件之環境下,並於表面施行電漿之化學或力學(撞擊)活 動。-般視需要供應特定氣體(處理氣體)予以氣體清淨之反 應腔’同時以高頻電場等反應將氣體離子化而形成電聚。但在 20貝行上,不可能以處理氣體完全清淨腔。故在下述實施例中, 術^氨電漿並非指完全的氨電漿,在電漿中可含雜質氣體 (氮、氧、二氧化碳、水蒸氣及/或等)。電漿可能包含諸如稀 釋氣體或添加氣體等其它氣體,自不在話下。 3·本文採用之”還原空氣之電漿(還原電漿),,係指反應基、 -20- 本纸張尺度適用中國國家標準(CNS)A4規格(210x297公釐) 19200305951 五、發明說明 10 15 經濟部智慧財產局員工消費合作社印製 20 反岸刀子具遇原反應之電漿環境’亦即優先存在抽氧 =:基=子包含原子或分子基與離子。在電聚環境中,不 亦入之”銅製”係指喃為主成分。缺地,高純度銅 對=貝’故銅製組件可具添加物或雜質。其不僅適用於銅, 對其它金屬(氮化鈦等)亦然。 5·本文採用之,,化學力學拋光(CMp),,一般係指藉由在使 力:墊接觸之條件下之表面方向中,相對移動減墊(由相對 二類布片狀材料製成),同時施加泥激,將欲抛光之表面抛 光。本發明之實施例包含化學力學圍繞(CML),其中藉由相 麟硬_料表面移動欲抛光之表面而施行抛光,此外,曰並包 a採用固疋研磨料與未制研磨料之無研磨(朦之抛光。 6·本文採用之,,無研磨料化學力學拋光,,係指採用具重量百 分率濃度低於G.5%之研棘之泥漿之化學力學拋光,而,,具研 磨料化學力學蚊,雜制具重量百分率濃度高於_之研 磨粒之泥紅化學力學抛光。以上係㈣名之。在第_與第二 步驟中均施行具研練化學力學拋光之情況下,在第_轉; 之拋光,若第一步驟較第二步驟之拋光濃度低至少一位數時, 低至少兩位數更佳,有時稱之為無研磨料化學力學拋光。本文 採用之無研磨料化學力學拋光,,以及對欲拋光之整體金屬膜之 平坦化處理而施行之無研磨料化學力學拋光包含對主要處理之 無研磨料化學力學拋光及對次級處理之無研磨料化學力學拋光 之組合 -21· 本紙張尺度_ + _家標準(CNS)A4^i7210 x 297公爱) 200305951 A7 --- B7 五、發明說明(20 ) 本文採用之,,抛光液體(泥漿),,-般係指在化學餘刻劑 中藉由W合研磨粒所得之懸浮液,但在本中請案中,包含在考 量本發明之特性下,無研磨粒之拋光液體。 8·本文彳賴之”抗雜”係域由在金屬表面上形成抗腐似 5 /或拒水性保護膜,以避免或抑制以CMp進行抛光之化學物, 一般均採用苯并三哇(BTA)為化學物(欲知詳細資料,請參 閱曰本專利公開申請案第64594/1996號)。 9·本文採用之無刮傷係指在以上述⑶p拋光之整體晶圓 f面上,超過預定大小或在預定單位面積内未_到缺陷之狀 ίο恶。預定大小’’m半導體裝置之世代或麵而異。但在本發明 之貝%例中,以對缺陷之線巾(丨ndneh匕較測試決定,在直 徑200毫米之晶圓拋光表面範圍内未備測到〇·3微米或更大的 缺陷。 1〇·本文採狀”料障麵,’_般係指在獻互連結之側表 15面或絲社形紅相㈣且具概障難f之料膜 避免銅擴散至内層絕緣膜或下方層中。一般均.採用諸如9欽 經濟部智慧財產局員工消費合作社印製 (Τι)與组(Ta)等耐熱金屬,即諸如氮化欽(丁丨n)與氮化钽 (TaN)等耐熱金屬氮化物。 ▲ Ύ本文採用之’,叙入互連結或後入金屬互連結”-般係指以 2〇諸如單波紋或雙波紋技術等金屬化技術將互連結圖案化,更特 別言之,藉由將傳導膜埋入絕緣膜中之諸如穿圳或孔等互連結 ,孔内,並接著移除絕緣社之非必要傳導麻形成互連結。” 單波紋,,-般係指以兩步概人栓金屬與互賴金屬之嵌入互連 結形成處理’而”雙波紋,·同時埋人栓金屬與互連結金屬之 •22- 200305951 A7 -—- _ B7 五、發明說明(G ' 一 —- 嵌入互連結形成處理。傾向以銅嵌入互連結做為多層結構。 12.本文採用之,,半導體裝置,,除非特別描述,否則不僅指特 別於單晶矽基板上製造者,亦指在諸如絕緣體上之矽(s〇丨) 基板等其它基板,或供製造薄膜電晶體(TFT)液晶用之基板 5 上製造者。 土 13·本文採用之”晶圓”係指在半導體積體電路之製造中,採 用之石夕或其匕半導體單晶基板(一般而言,為接近平碟型之半 導體晶圓)、藍寶石基板、玻璃基板、其它絕緣或半絕緣、半 導體基板或其組合基板。 10 ^ 14·本文採用之”半導體積體電路晶片或半導體晶片(而後 簡稱為”晶片”),,係指完成晶圓處理(或預備步驟)並分為單 位電路群之晶圓。 15·本文採用之”氮化石夕或氮化石夕膜”不僅指聊4,亦指含矽 之氮化物或具類似成分之絕緣膜。 15 彳6·本文採用之”低介電常數絕緣膜(低-K絕緣膜),,係指例 經濟部智慧財產局員工消費合作社印製 如··介電常數較保護膜中所含石夕氧化物膜(例如四乙氧基石夕烷 (TEOS)氧化物膜)之絕緣膜。特定介電常數〔不高於約41 或4_2之TEOS氧化物膜稱之為低介電常數絕緣膜。 在下述貝她例中,為便利之故,將視需要分為多節或多個 20實施例。所謂多段或實施例並非彼此獨立,其間均具關係,除 非在它處特別描述之部分或整體之細部或補充描述,均係改良 實例。 在下述實施例中,當參閱構件數時(包含數、值、量與範 圍),構件數不以特定數為限,除㈣職述,或在很顯然將 -23- /本紙張尺度適用中國國家標準(CNS)A4規;^7*210 X 297公髮) -—-——— 200305951 A7 B7 五、發明說明(22 5 10 15 經濟部智慧財產局員工消費合作社印製 20 數量限制為特定數之情況下,較特定數高或低均可。 此外,在下述實施例中,無需贅述者係除非特別描述,或 很顯然係屬必要條件者,組成構件(包含構件步驟)常非屬必 要。 類似地,在下述實施例中,當參閱組成構件之外型或位置 關係時,亦包含與其近似或類似者。並適用於上述值與範圍。 在描述實施例之所有圖式中,將以類似代號表示功能類似 之部件,其重複描述則略之。 在本發明之實施例中採用之圖式中,有時加入影線,俾利 對平面圖之了解。 在本發明之實施例中,場效電晶體金屬絕緣體半導體場效 電晶體(MISFET)之縮語為MIS,p通道型MISFET之縮語 為PMIS,η通道型MISFET之縮語為nM|S。 接著將按隨附圖式,特別描述本發明之實施例。 (實施例1) 在此實施例1中,依圖5之生產流程圖,根據圖6至丨 解釋之情況係本發明之技術構想,應用於互補M|s (CMIS) 大型積體電路(LSI)之製造方法。圖5中虛線所含步驟顯 於相同處理腔中之處理。 圖6係CMIS-LS丨製造步驟期間之局部平面圖,圖7為沿 圖6之線X1_X1之剖關。半導體基板彳(而後將簡稱為,,基 板”)建構型單晶矽(特殊電阻約為彳至1〇歐姆公 Wcm))製成之晶圓1W。半導體基板巧之主表面(其上形成 裝置之表面)上具絕緣穿圳(淺槽絕緣(SG丨))或淺穿圳絕緣 18 示 -24- }纸張尺度適用中國國家標準(CNS)A4規格(2lG X 297公复) 200305951 A7 B7 五、發明說明(23 ) (STI) 2。藉由將例如氧化矽膜埋入在基板1S之主表面上形 成之牙圳中而形成、纟巴緣穿圳2。亦在基板1S之主表面側上形 成p型井PWL與η型井NWL。於p型井PWL引入硼,而於 η型井NWL引入磷。在絕緣穿圳2所納之ρ型井pwl與η型 5井NWL之主動區中,分別形成nMlSQn與pMISQp。 nMISQn與pMISQp之閘極絕緣膜3厚約6奈米。此處採 用之膜厚度係指二氧化石夕之膜厚度,一般均與實際膜厚度不 符。閘極絕緣膜3可由氮氧化矽膜,而非氧化矽膜形成。換言 之,可能於閘極絕緣膜3與基板1S介面處導致氮之分離。因 10採用氮氧化石夕膜,故可改善閘極絕緣膜3之熱載子電阻,導致 介電崩潰強度之改善。此外,與氧化矽膜相較,於基板1S側 抓用氮氧化矽膜較不易為閘極材料中之雜質穿透,故得以抑制 因擴散導致之臨限電壓變動。可於諸如N〇、N〇2或Nh3等含 氮氣體中,對基板1s熱處理而形成氮氧化矽膜。 15 藉由在低電阻多晶矽膜上堆疊鈦矽化物(TiSix)層或鈷矽 化物(TiSix)層,而形成_SQn與_SQp之問極4。問極 結構不以上述為限,可為低電阻多晶石夕膜、氮化嫣(_)膜 經濟部智慧財產局員工消費合作社印製 與鎢(W)膜之薄片膜形成之所謂的多金屬閘極結構。在間極 4兩側表面上,形成氧化矽製之側壁5。 2〇、…_SQn之源極與汲極之半導體區6具與通道相鄰之_ 半$體區及連接至η型半導體區且配置離開通道门_型半導體 區間^之η型半導體區。將磷與鎵引入n_型半導體區與门+型半 導體區中。換言之,_SQp之源極與汲極之半導體區7且盘 通道相鄰之ρ·型半導體區,及連接至_半導體區且配置離開 -25- 200305951 A7
5 10 15 經濟部智慧財產局員工消費合作、社印製 20 通道p-型半導體區間隔之p+型半導體區。將硼引入p-型半導體 區與P型半導體區中。在半導體區6、7之部分上表面上,形 成鈦石夕化物層或錄石夕化物層。 於基板1S上沉積絕緣膜8。絕緣膜8係由例如硼摻雜碟矽 玻璃(BPSG)等膜製成,其所具再流祕質高到足以填充問 極4中之乍空間。絕緣膜8可為以旋轉塗佈形成之玻璃上旋轉 (SOG)。自接觸孔9底部起,部分暴露半導體區6或7之上 表面(矽化物層表面)。在接觸孔9中,形成栓1〇。栓1〇係 以CVD沉積氮化鈦(Tj|\j)膜與鎢(w)於絕緣膜8 (包含接 觸孔内)上,並以CMP或蝕回在絕緣膜8之非必要氮化矽膜 與鎢膜而形成,藉以僅留下接觸孔9内之膜。 在絕緣膜8上形成例如鎢製之第一層互連結u。第一層互 連結L1經栓1〇電氣互連結至nM丨SQn或pM|SQp之問極4 或源極/汲極之半導體區6或7。不僅鎢膜可做為第一層互連結 L1,其匕膜亦可。例如諸如紹(A|)或紹合金等簡單其板之 膜,或利用諸如鈦(Ti)或氮化鈦(TiN)等金屬膜形成薄片金 屬膜,做為上述基板之膜之上下層。 在絕緣膜8上沉積絕緣膜11a,以覆蓋第一層互連結u。 絶緣膜11a係由諸如有機聚合物或有機石夕土破璃等低介電常數 材料(所謂的低-K絕緣膜、低-K材料)製成。有機聚2物之 實例包含’’SiLK”(美國Dow Chemical CO.產品,特定介電常 數· 2_7、熱阻溫度:490°C或更高、介電崩潰強度至 5-OMV/Vm) ’及TLARE”,聚烯丙基乙醚(PAE)又系列材料 (美國Honeywell曰ectronic Materials產品,特定介電常數· -26- 1 丨丨 丨 丨 ......... - ..... 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮) 200305951 A7 B7 五、發明說明 25 5 10 15 經濟部智慧財產局員工消費合作社印製 20 2-8、熱阻溫度:400°C或更高)。此PAE材料具高基本性能及 優良力學強度、熱穩定度與成本表現。有機矽土玻璃(Sj〇c 材料)貫例包含”HSG-R7”(美國App|jed Materials產品,特 定介電常數:2_8、熱阻溫度:650°c )、,,Black Diamond”(美 國Applied Materials產品,特定介電常數:3 〇至2 4、熱阻溫 度:450°C ),A,>MTES”(Hitachi Kaihatsu 產品,特定介電 ¥數· 3.2)。此外 ’ CORAL”(美國 Novellus Systems, Inc 產品’特定介電常數:2_7至2_4、熱阻溫度:5〇〇°c ), 及’’Aurora 2.7”(Nippon ASM產品,特定介電常數:2 7、 熱阻溫度:450°C)可為另一 SiOC系列材料之實例。 亦適於供絕緣膜用之低介電常數材料為FSG (Si〇C系列 材料)、HSQ系列材料、MSQ系列材料、多孔HSq系列材 料、多孔MSQ系列材料及多孔有機材料。HSQ系列材料之特 定實例包含,,〇CD T-12”(Tokyo 〇hka Kogyo Co” Ltd•產品,、 特定介電常數:3.4至2·9、熱阻溫度U5(rc )、”F〇x”(美國 Dow Coming Corp·產品,特定介電常數:2 9)及,,〇CL T 32” (Tokyo 〇hka Kogyo產品,特定介電常數:25、熱阻溫 度:450°C )。MSQ系列材料之特定實例包含”〇C[) 丁_9” (Tokyo 〇hka Kogyo產品,特定介電常數:2 7、熱阻溫度: 600°C )、,,LKD-T200”( JSR 產品,特定介電常數:2W 2·5、熱阻溫度:450 t )、,,H〇sp”(美國 H〇neywe|| Electronic Materials產品,特定介電常數:2 5、熱阻溫产 55(TC )、,’HSG-RZ25”(Hi_ Chemica| 產品 /特定=常 數:2·5、熱阻溫度:65(TC )、,,〇α 丁训,(丁卿〇 〇hka -27- 200305951 A7 B7 五、發明說明(26 )
Kogyo產品,特定介電常數:2.3、熱阻溫度:500°C ), 及’’LKD-T400”(JSR產品,特定介電常數:2·2至2、熱阻溫 度:450°C)。多孔HSQ系列材料之實例包含,,xlk”(美國 Dow Coming Corp.產品,特定介電常數:2·5至2)、,,〇CL τ- 5 72”(Tokyo Ohka Kogyo Co_,Ltd·產品,特定介電常數:2.2 至 1 ·9、熱阻溫度:450 °C )、”Hanoglass”(美國 Honeywell
Electronic Materials產品,特定介電常數:2·2至18、熱阻溫 度:500°C 或更高),及”MesoELK”(美國 Air Products and 10 15
Chemicals, Inc·產品’特定介電常數:2或更低)。多孔msq 糸列材料之貝例包含HSG-6211x”(Hitachi Chemical產品, 特定介電常數:2·4、熱阻溫度:650°c )、,,ALCAP-S”(Asahi Kasei Kogyo產品,特定介電常數·· 2·3至18、熱阻溫度: 45(TC )、,,0CL T_77”(Toky。〇hka K〇gy。產品’特定介^常 數:2_2 至 1_9、熱阻溫度:60(rc )、,,HSG_621〇x” ⑽油
Chemical產。。,特定介電常數:2·ι、熱阻溫度:65〇它), 及’’silica aerogel”(Kobe Steel產品,特定介電常數:,4至 1.1)。多孔有機材料實例包含”P〇|yELK”(美國八丨「阶此比 and Chemicals,lnc•產品,特定介電常數:2或更低、熱阻溫 度肩。c)。上述SiOC系列材料或Sj〇F系列材料係由例如 化學洛氣沉積(CVD)形成。例如:上述”咖『係採 用三甲基魏與氧氣之氣體混合物,以CVD形成,而上述”卩· ==’難採”基三乙祕魏與⑷〇之氣航合物,以 形成。其它低介電常數㈣材料則係由例如塗佈方法形 20 200305951 A7 五、發明說明 27 經濟部智慧財產局員工消費合作社印製 自此低-κ材料製成之絕緣膜11a上沉積絕緣膜l2a,供做 低-κ罩。此絕緣臈12a係由例如石夕氧化物(Sj〇^ (代表物為 二氧化秒(Si02))膜製成,在化學力學拋光(CMp)後,並 具維持力學強度、保護表面與維持絕緣膜11a之抗濕氣之功 能。絕緣膜12a較絕緣膜11a薄,且其厚度約為例如25至 1〇〇奈米’較佳約5〇奈米。絕緣膜12a不以魏化物膜為 限’可為其它膜。例如可採用氮化石夕(SixNy)膜、碳化石夕 (SiC)膜或碳氮化矽(SiCN)膜。可利用電漿CVD形成這 些氮化矽膜、碳化矽膜與碳氮化矽膜。”BL〇k”(ama丁產品, 特定介電常數:4.3)係以電装CVD形成之碳化石夕。為:成 之採用一甲基石夕炫與氦(或&或叫)之氣體混合物。在 這些絕緣膜11a與12a巾,製做使部份第一層互連結u暴露 在外之穿孔13。在此穿孔13中,埋入以例如嫣製之检14。 首先’在此實施例中,以電漿CVD沉積絕賴他於上 述絕緣膜12a與栓14上。絕緣臈15a係以例如電裝CVD形 成之氮化石夕膜製成,且其厚度為例如約25至5〇奈米,較佳約 5〇奈米。絕緣膜15a之附加實例可包含單基板之膜,諸如以 « CVD形成之碳化賴 '以電漿CVD形成之sjcN膜及 以電襞CVD形成之氮氧化石夕(Si〇N)膜。與採用氮化石夕膜者 相較,採用這些膜可大幅降低介電常數,藉以降低半導體裝置 之互連結電容,纽善其髮速度。如魏cvd形成碳化 頻時,可以”BL〇k”(AMAT產品)為—實例。用於膜形成之 乳體係如上述。為形成SiCN臈,採用氦(He)、氨(Μ)與 三甲基魏(3MS)之氣魏合物。#以_ CVD形成氮氧 -29-—*" ^紙張尺度適用中國國家標準(CNS)A4規格(21〇χ297公釐 10 裝 訂 15 m 20 經濟部智慧財產局員工消費合作社印製 200305951 A7 B7
化石夕膜時,可以”PE傭,(Can〇n產品,特定介電常數 3·9)為一實例。檔採用上述氮氧切膜時,膜厚度為例如約 25至奈米,較佳約50奈米。為形成之,採用錄二 炫(TMS)與氧化氮(N2〇)之氣體混合物。 ^夕 5 而後依序沉積絕緣膜(第—絕緣膜)仙與絕緣膜伽於 絕緣膜15a上。絕緣膜仙與絕緣膜m係由介電常數相同之 絕緣膜製成。在絕緣膜11b上之絕緣膜伽係由供絕緣膜似 用之相同絕緣膜及與該處類似者製成,做為低七罩絕緣膜之 用。以光阻膜為罩,利用乾钱刻移除絕緣膜仙、伽較佳, 10藉此形成互連結穿圳(互連結開孔)16a (圖5中之:驟 1〇〇)。藉由調整使絕緣膜11b、12b之朗選擇率高於絕緣膜 15a而形成互連結穿圳16a,藉以賦予絕緣膜他钱刻阻體之 力此特別述之’-到絕緣膜15a表面即停止射彳接著以選 擇性钱刻移除絕緣膜15a。故得以改善形成互連結穿圳他後 15之深度之精確度,並避免對互連結穿圳他過度钱刻。如圖6 所不’此互連結穿圳16a具類帶狀平面外型,且自互連結穿圳 16a底部露出栓14之上表面。 ’係在®7之後之製造步驟中,對應於圖6之線χι_χι之部 份半導體裝置之局部剖面圖;而圖9係在圖8之後之製造步驟 2〇中,對應於圖6之線Χ1_Χ1之部份半導體裝置之局部剖面圖。 如圖8所示,以濺鍍或類似方法(圖5中之步驟1〇1),將由 例如氮化鈦(ΤιΝ)製且厚度約5Q奈米之薄傳導障壁膜(第一 ^體臈)17a沉積於整個基板13之主表面上。此傳導障壁臈 17a具避免銅(供形成導體膜之用,稍後述之)擴散之功用,·
本紙張尺度適用中國國家標準(CNS)A4規格(21〇χ297公釐 200305951 A7
改善主導體膜對絕緣膜11b、12a、12b與15a之黏著之功用; 及改善鋼在主導體膜再流動後之濕潤之功用。以耐熱金屬氮化 7 (諸如幾乎不與銅反應之氮化鐫(WN)或氮化组(TaN)較 仏)取代氮化鈦做為此傳導障壁膜17a。取代氮化鈦,採用添 5加矽(Si)之耐熱金屬氮化物或諸如钽(Ta)、鈦(丁丨)' 鎢 (W)、鎢化鈦(TiW)合金等不易與銅反應之耐熱金屬等材 料。依實施例1,即使傳導障壁膜17a厚度縮減至例如1〇奈米, 或6至7奈米,甚至5奈米或更小,亦可獲得良TDDB特性。 經濟部智慧財產局員工消費合作社印製 接著沉積相對厚(厚度為例如約8〇〇至16〇〇奈米)之銅製 1〇主導體膜(第二導體膜)18a於傳導障壁膜17a上(圖5中之步 驟1〇1)。在此實施例1中,以例如鍍製法形成主導體膜18a。因 採用錢製法,故可以低成本形成具良膜品質及良填充性質之主 V體膜18a。在此情況下,先以錢錢沉積銅製之薄導體膜於傳 導障壁膜17a上,接著以電鍵或無電難於其上長成較厚之銅 15製導體膜,藉以沉積主導體膜22a。為鑛製之故,採用以銅硫 @文鹽為主成分之鍍製溶液。或者,可以濺鐘形成主導體膜 18a。在以濺鍍形成傳導障壁膜17a與導體膜18a上,可採用慣 常採用之濺鍍,但採用諸如長擲濺鍍或視準濺鍍等高方向性濺 鍵以改善填充性質及膜品質較佳。亦可以CVD形成主導體膜 20 。接著,在非氧化空氣中(例如氫氣),約4乃。〇下,以對 基板1S之熱處理導致主導體膜i8a之再流動,藉以使銅密集填 充於互連結穿圳16a中。 接著以CMP對主導體膜i8a與傳導障壁膜17a拋光(圖5中 之步驟102)。慣用之具研磨料CMP處理在此亦適用,但在實施 -31-
200305951 A7
例1中,CMP包括兩步驟,亦即_上述無研純CMP (第一 步驟)與具研磨料CMP (第二步驟)。以下將更特別描述此 CMP處理。 經濟部智慧財產局員工消費合作社印製 、第#驟目的在對銅製之主導體膜18a較佳抛光。抛光溶 5液(泥漿)包含供形成保護膜用之抗姓劑、銅之氧化劑,及銅 之氧化物膜之侧成分,但大致上以無研磨料較佳。抛光溶液 之研磨料成分之重量百分比為〇·5%或更低,較佳為〇1%或更 低,尤其為0爾或更低,更佳為〇聊"戈更低。但可將延磨 料掺入拋·巾,_其缝之3至4%。將拋光溶液_值調 10整至銅之侵敍範圍。此外,調整其组成,使得主導體膜18a相 對於傳導障壁膜17a之抛光選擇率為例如至少5。此抛光溶液之 貝例中/尼水具氧化劑及有機酸。氧化劑之實例包含過氧化 氳(H2〇2)、氨水、氮化氨及氯化氨,而有機酸則包含擰檬 酸、丙二酸、反丁烯二酸、蘋果酸、己二酸、安息香酸、鱗笨 15二甲酸、酒石酸、乳酸、琥珀酸及草酸。在上述中,過氧化氫 係適於拋光溶液用之氧化劑,因為其無金屬成分且非強酸。擰 檬酸係適於拋光溶液用之氧化劑,因為其係常見之食物添加 物、具低毋性、棄置時無害、無味,且在水中溶解率高。在此 貫施例中,所採用之拋光溶液係藉由添加體積百分比之過 20氧化氫及重里百分率〇·〇3%之挣樣酸於純水中而得,藉以調整 研磨料含量至重量百分率低於0.01%。採用之抗蝕劑實例為 ΒΤΑ〇 第一步驟之無研磨料CMP對主導體膜18a之拋光,主要係 藉由化學因子為之,同時導致主導體膜18a之保護作用及蝕刻 -32- 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) 200305951 A7 五、發明說明(Μ 10 15 經濟部智慧財產局員工消費合作社印製 20 作用。當化學力學以上述拋総液施行拋光時,銅表面先為氧 化劑氧化,並於其表面形成薄氧化物層。接著為可水解氧化物 之物質,藉以分解氧化物層並呈水溶液狀態。氧化物層厚度降 低。氧化物層之薄化部份復暴露於氧化物質且厚度增加。如上 述反應重複進行化學力學拋光。藉由與拋光墊之接觸而移除保 護膜。此無研磨料拋光溶液之化學力學拋光,特別述於本申請 案之發明人申請之日本專利申請案第299937/1997與 317233/1998號。 在第一步驟後之第二步驟目的在對傳導障壁膜17a較佳拋 光。此第二步驟對傳導障壁膜17a之拋光,主要係藉由與抛光 塾接觸之力學因子為之。此處制之抛光溶液包含上述抗餘 劑、上述氧化劑及氧化物膜之蝕刻成分,並具研磨料。在實施 例1中,所採用之拋光溶液係藉由添加體積百分比5%之過氧化 氫、重量百分率0.03%之擰檬酸及重量百分率〇·5至〇·8%之研 磨料於純水中而得,但不以之為限。研磨料量主要以不使下方 '%緣膜12b為度。其量設定為例如重量百分率1%或更低。採用 例如膠狀矽土(Si〇2)為研磨料。採用膠狀矽土可大幅降低 CMP對絕緣膜12b之拋光錶面之損害,藉以達成無刮傷拋光。 亦可以駐(AI2Q3)取代職⑨土做為研練。鄕—步驟相 較’第二步驟巾採狀氧化缝較少,亦即她溶液中之抗钱 劑量相對增加。在主導體膜18a相對於傳導障壁膜173之拋光選 擇率較上述無研磨料CMP低之條件下施行拋光,例如選擇率為 3或更低。在第二步驟之此條件下之拋光,可強化對銅製之主 導體膜18a之保護’同時抑制其氧化,故可避免主導體膜他之 -33-
200305951 A7 B7 五、發明說明(32 5 10 15 經濟部智慧財產局員工消費合作社印製 20 過渡拋光或避免對其造成挫傷或侵钱。結果即可抑制或避免互 連結電阻之增加或變動,導致半導體裝置性能改善。 如圖9所示,藉此CMP處理,在互連結穿圳16a中形成嵌入 第二層互連結(互連結)L2。嵌入第二層互連結L2具相對薄傳 導障壁膜17a與相對厚主導體膜i8a,並經栓彳4與第一層互連結 L1電氣連接。依此實施例彳,採用上述CMp拋光形成嵌入第二 層互連結L2,可大幅減少〇^|3對絕緣膜12b之拋光表面之損 害,並達成上述無到傷拋光。在上述實例中,沉積絕緣罩絕緣 膜12b於低-K材料製之絕緣膜彳化上。依此實施例之CMp可達成 無到傷拋光,故無需配置絕緣罩絕緣膜(例如絕緣膜12b)。簡 言之,可將絕緣膜11b暴露於CMP。在本申請案之發明人申請 之曰本專利申請案第2001-316557號(2001.10.15提出)等 中,揭示低-K無障壁技術。 基板1S之表面拋光後,接著歷經抗蝕處理。此抗蝕處理 部,與建構拋光處理部類似。在此抗蝕處理部中,基板之主表 面壓倚於拋光墊(附接於一平台表面)。在拋光泥漿之力學移 除後’將具諸如苯三氮二烯伍圓(BTA)等抗蝕劑之化學物溶 液饋送至基板1s之絲面,藉㈣在絲1S之絲社形成之 銅互連結之表面部分上形成拒水性保護膜。經抗蝕處理後之基 板1S暫時儲存於沉浸處理部中,以避免表面變乾。此沉浸處理 部維持所得抗14基板1 s表面濕潤直到清洗後 。例如設計此部以 儲存預定數量之基板1S為沉浸於溢滿純水之沉浸槽(儲存槽) 之型式。此時’以冷卻至溫度低到足以大致避免嵌入第二層互 連、、、aL2進行電化學侵餘反應之純水饋送於沉浸槽,更可確保嵌
200305951 A7 _ —__ B7 五、發明說明(33 ) 入第二層互連結L2免受侵蝕。避免基板變乾之方法不以上述為 限’亦即儲存於沉浸槽中,但至少盡量維持基板1S表面濕潤, 例如另一方法係以純水浴饋送至基板。可將此沉浸處理部(基 板儲存部)設計為具高阻礙結構,以避免基板1S表面在儲存期 5間暴露於照明光線下。故得以避免因光電效應產生短路電流。 對此CMP處理與裝置之描述,見於例如本發明人之日本專利申 請案第226876/1999與2000-300853號。 接著,施行CMP後清洗步驟,同時維持基板仫表面濕潤。 首先,使基板1S歷經鹼性清洗。此處理目的在移除外來物質, 10諸如CMP處理後之泥漿。在CMP期間附著於基板13之酸性泥 漿被中和,而於基板彳之冀它(zeta)電位方向中配置外來物質 及清洗刷。為消除其間之吸附力,於基板彳之表面施行擦洗 (或刷洗),同時供應弱鹼性溶液至該處,例如pH接近8或更高 者。 15 圖1〇係在圖9之後之製造步驟中,對應於圖5之線X1- 經濟部智慧財產局員工消費合作社印製 X1之部份半導體裝置之局部剖面圖。此處之基板1S (尤其是 暴露出嵌入第二層互連結L2之CMP表面)歷經還原處理。特 另J述之’基板1S (尤其是CMP表面)經過熱處理,例如在氫 氣中,200至475它下(較佳為300。(:),0.5至5分鐘(較佳 20約2分鐘)(氫氣(η2)退火處理,圖5中之步驟1〇3)。對銅 而言:此處理可減少CMP後在嵌人第二層互連結L2表面上形 成銅氧化物Lx抑峨避免嵌4二層互連結L2為後續 之酸性清洗_。結果即可同時抑難避免互連結電阻之增 加、互連結電阻之變動及步階差之發生與钱刻侵茲之發生。無 -35-
五、發明說明(34) 此還原處理,在CMP處理後,諸如眺財機婦即會黏著 於基板1S表面,成為清洗後之罩,並擾亂絕緣膜伽表面層 之平滑钱刻。但藉由實施例]中之還原處理,即可移除在 CMP處理後,諸如BTA等有機物質之黏著,而充分且均句地 5移除緣膜伽表面層。藉此還原處理,即可明顯改善半導體 裝置之TDDB生命期。上述氫氣退火特別適用於以鍵製形成之 銅系列主導體膜之後入互連結。此類氫氣退火處理會導致錢製 形成之銅之再結晶,使得互連結電興下降。此外,氯氣退火處 理可抑制或避免罩膜因熱應力而剝落。但在某些情況下無需氫 1〇氣退火處理。此氫氣退火處理可於CMP後清洗處理(包含酸 2清洗及稍後將描述之烘乾)後,但在梢後將描述之電將處理 如施行。 接著施行基板1S之酸性清洗。其目的在改善TDDB特 性、移除殘餘金屬、減少在絕緣膜12b表面上之懸浮鍵、移除 I5絕緣膜12b表面上不均勻處等。將氫氟酸溶液饋送至基板1S 表面,以移除因蝕刻導致之外來粒子。僅需加入氫氟酸清洗即 可改善TDDB特性,其係因以酸處理移除表面受損層而使介面 經濟部智慧財產局員工消費合作社印製 處之黏著改善所致。接著以旋轉烘乾機將基板1S烘乾,並供 後續步驟之用。 20 在上述實例中,描述以下列順序施行之鹼性清洗處理、還 原處理及酸性清洗處理。與鹼性清洗-酸性清洗序列相較,以約 2圖表可獲得TDDB特性之改善。處理序列可變,不以上述為 限。例如:施行CMP處理及後續之還原處理,接著依序以鹼 性清洗處理與酸性清洗處理做為清洗後處理。或可採用鹼性清 -36- 本纸張尺度適用中國國家標準(CNS)A4規格(21〇x297公釐) 200305951 A7 B7 五、發明說明(35) 洗處理、還原處理及酸性清洗處理序列。僅由酸性清洗即可改 善TDDB特性。在上述CMP後清洗處理時或之前,基板is 表面可經過純水擦洗、純水超音波清洗、流動純水清洗或純水 旋轉清洗;或者基板1S背表面可經過純水擦洗。清洗方法、 5氫氣退火方法及這些處理之序列之作用或效果之細節述如本申 請案之發明人申請之日本專利申請案第2001-131941號 (2001_4_27 提出)。 在乾處理晶圓1W後,接著將其載入電漿強化CVD系統中 开>成互連結罩絕緣膜。電漿強化CVD系統並無特殊限制,但 10在此實施例中,採用者係二極體平行板電漿強化CVD系統。 在此實施例1中,晶圓1W被撐托於電漿強化CVD系統之處 理腔中,並經熱處理,同時饋送氮氣(n2)至裝置中。換言 之,晶圓1W之主表面(CMP表面)於氮氣流動氣體中經過退 火處理。藉由此處理,嵌入第二層互連結L2之主導體膜18a之 I5上表面(CMP表面,第一表面),尤其是接觸部(上角落)即 為傳導障壁膜17a所環繞(圖5中之步驟104)。圖糾係在此類環 繞處理後之半導體裝置製造步财,制於圖6:^χι_χι部份 經濟部智慧財產局員工消費合作社印製 之剖面圖;而圖12則係圖11之局部放大剖面圖。依實施例’, 嵌入第二層互連結L2中之主導體膜18a之CMp表面側上之上角 2〇落凹陷,並形成圓錐形體。換言之,所形成在CMp側上之主導 體膜18a之剖面外型與圖μ或12中之上部處之傳導障壁膜仍 之距離較大。在主導體膜18a之上角落處之圓錐形體大小為互 連結穿圳16a之高度與寬度所界定(尤其為法線之梯度所界 定)。圓錐形體之寬度(在與主導體膜18a之上表面水平方向上 -37、
200305951 A7
之寬度)較閘極絕緣膜3之厚度高。 在此熱處理期間,在氮氣中,其上置放晶圓情之載體之溫 度概度約360至400 C。施行熱處理例如)分鐘。當將此熱處理 期間之壓力相對設高時,即易於環繞主導體膜他。可以氮氣 5做為處理氣體,但若採用氮氣,可於相對低溫範圍内形成良圓 錐心體士〇圖12所示,在肷入第二層互連結匕2之側壁上形成在 向上方向之互連結I度I父寬之錐形體。嵌人第二層互連結a之 側表面與絶緣膜11a之上表面間形成之角度樣圍自的至⑽。, 更特別言之,日88·7。。在m層互連壯2之上側上之寬 1〇度(互連結穿圳16a之上側寬度),以及在兩相鄰嵌入第二層互 連、、、。間之上側上之距離(兩相鄰嵌入第二層互連結^之上角落 間距)為例如0·25微米或更小,或〇·2微米或更小。兩相鄰後入 第二層互連結L2間之最小間距為例如Q5微米或更小。互連結 穿圳16a之方位比約為】。 15 。接著將氮氣自電漿強化CVD系統之處理腔中排出並藉由關 經濟部智慧財產局員工消費合作、社印製 閉電源ΛΗΤ止電漿供應。接著晶圓德歷經如下述之還原電裝 處理(圖5中之步驟1〇5)。圖13與14分別係在原電漿處理期 間,對應於圖5之線Χ1-Χ1之部份晶圓1W之剖面圖。 在饋送氫氣至電漿強化CVD系統之處理腔後,藉由施加電 20水电力,使基板1S (尤其是暴露出嵌入第二層互連結L2之 CMP表面)歷經氫氣電漿處理,如圖13所示。例如對直徑^英 吋(約200¾米)之基板13施行氫電襞處理,其施行條件如 下· 5.0陶爾(=6·6661χ1〇2帕斯卡)處理壓力,_瓦特射頻 (RF)功率,40(rc基板溫度,500立方公分/分鐘氣氣流速、 -38-
200305951 A7 ___— B7 五、發明說明(37 ) 及10至30秒之處理時間。設定電極對電極距離於600密爾 (15.24毫米)。對處理而言,採用僅由氫(H)組成之氣體或 氫(H)與氮(N)之氣體混合物。 如本發明人申請之曰本專利申請案第226876/1999及2000- 5 300853號,此虱電漿處理具有機物質之高移動容量(與稍後即 將描述之氨電漿處理相較較高),故幾可完全移除CMp後,在 泥漿中所含BTA及泥漿成份;供QVIP後清洗用之有機酸;及處 理期間產生之有機殘餘物,藉以降低介面處之漏電流。結果即 可進一步改善TDDB生命期。 10 將氫氣自電漿強化CVD系統之處理腔中排出並關閉電漿電 經濟部智慧財產局員工消費合作社印製 源後,藉由饋送氨氣至電漿強化CVD系統之處理腔並使腔與空 氣隔絕且施加電漿電力,使基板1S表面(暴露出嵌入第二層互 連結L2之CMP表面)歷經氨(NH3)電漿處理。例如對直徑8 英吋(約200¾米)之基板1S施行氨電漿處理,其施行條件如 15下:約0·5至1·0陶爾(=66·6612至133.332帕斯卡)處理壓 力,供應約500至1000瓦特功率至電漿處理裝置之上電極,供 應約0至1000瓦特(0較佳)功率至電漿處理裝置之下電極,約 300至40(TC基板溫度,500至15〇〇立方公分/分鐘氨氣流速, 及5至60秒之處理時間。設定電極對電極距離於3〇〇至密爾 20 (7·62至 15.24毫米)〇 " 藉此氨電漿處理,可將CMP後在氧化之銅互連結表面上之 銅氧化物(Cu〇' Cu〇2)還原為鋼(Cu),此外,並在敌入第 二層互連結L2之上表面(崎窄區)上形成氮化銅⑴uN) 層,以避免銅於設定流動後之石夕化。在互連結間之絕緣膜灿 -39- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 200305951
之上表面(顯著窄區)上形成siN或siH,持續補償絕緣膜12b 表面上之懸浮鍵,亦得以改善稍後即將描述之罩絕緣膜與嵌入 第二層互連結L2或絕緣膜12b間之黏著,並降低經過介面之漏 電流。此舉亦可帶來TDDB生命期之改善。 5 藉由依序施行氫電漿處理與氨電漿處理,即可還原主要由 銅組成之肷入第一層互連結L2之表面;形成石夕化物障壁層;清 洗絕緣膜12b之介面;及導致siH或SiN效應。故可進_步改盖 可靠性。本發明人已揭示藉由沉積氮化矽膜(以電漿cvd形 成)於氧化矽膜(以電漿CVD,利用例如四甲基氧矽烷 10 (TE0S)氣體)上,並接著施行氫電漿處理與氨電漿處理之 組合,形成内層絕緣膜所得之樣本,顯示2TDDB生命期與僅 經過氨電漿處理之樣本相較,有約兩位數之改善。本發明之測 試亦揭示即使採用上述SiLK做為内層絕緣膜,當採用氣電聚與 氨電漿組合時,在約CU3至0.17Mv/cm之操作環境下1〇年,亦 15 可達成充分之可靠性。 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 製 /主思’當然還原電漿處理條件不以上述為限β依本發明人 之研究,電漿損害會隨壓力之增加而減低,同時亦可降低基板 中TDDB生命期之變動,並隨基板温度之增加而延伸生命 期。此外,已發現到在銅表面上,易隨基板溫度、郎功率及處 2〇理時間之增加而導致小丘。在視裝置結構之條件下考量這些發 現與變動,可將還原·處理條件設^如次:約05至6陶爾
㈣鑛W至7.99932χ1〇2帕斯卡)處理壓力供應約5〇〇 至1000瓦特功率至電漿處理裝置之上電極,至_瓦特RF 功率,咖至45CTC基板溫度,50至觸立方公分/分鐘氯氣流 -40- 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) 200305951 Μ Β7 五、發明說明(39 10 15 經濟部智慧財產局員工消費合作社印製 20 速,20至500立方公分/分鐘氨氣流速,及5至18〇秒之處理時 間,及150至1〇〇〇密爾(381至25.4毫米)之電極對電極距 離。 在上述實例中,氫電漿處理之後為氨電漿處理。其順序並 不以之為限,可採用各種改良。例如在氨電漿處理後,可持續 施打氫電漿處理,同時維持真空狀態。或可僅施行氨電漿處理 做為還原處理。在此類情況下,亦可改善丁[3[;^生命期。 在上述實例中,圓錐形體形成步驟後為還原電漿處理。以 此順序施行這些處理,即可藉由在主導體膜18a表面上之還原 電裂處理維持穩定效應。或可於還原電漿處理後形成圓錐形 體。或可於氫㈣處理後接著為氨處理,形成圓錐形體。 可同日^Μ亍還原處理及圓錐形體形成。當同時施行還原處理與 圓錐形體形成時,健溫度(近乎表示晶K1W溫度)為例如 約350至40CTC,處理時間為例如彳至3分鐘,較佳約2分鐘。 將氨氣自電㈣化CVD系統之處理腔中排出並關電聚電 源後,即施行設定流動(圖5中之步驟1〇6),接著以電漿 CVD沉積互連結罩絕緣膜於晶圓彻之主表面上(圖5中之步 驟107)。圖15係沉積互連結罩絕緣膜(第二絕緣膜,稍候將 成為第-絕緣膜)後,對應於圖5之線Χ1_χι之部份晶圓谓 之剖面圖。絕緣膜15b與未加工材料及絕緣膜他之厚度類 似。 上述奴流動轉之為,,敎化,,,其主要料在形成互連 結罩絕緣社前,提高卿成敎度之職㈣。在此設定流 動後接著為_成。特㈣之,將載軌體饋送至電衆強化 -41- 本纸張尺度適用中國國家標準(CNS)A4規格(21〇x297公髮)— i 訂 線 A7 200305951 ___ B7 五、發明說明(4〇) CVD之處理腔後數十秒,即在未停止載運氣體流動下,將處理 氣體饋送至處理腔。維持在此狀態數秒後(設定流動),即藉 由施加電漿電源而開始膜形成處理。為自氮化石夕膜形成絕緣膜 15b,在設定流動後即利用氮氣做為載運氣體,並以氨氣 5 (NH3)與矽烷氣體(SiKO做為處理氣體。為自碳化矽膜形 成絕緣膜15b ’在設定流動後即利用氦氣(He)做為載運氣 體,並以三甲基矽烷氣體(3MS)做為處理氣體。為自SiCN 形成絕緣膜15b,在設定流動後即利用氦氣(He)做為載運氣 體,並以氨氣(NH3)與三曱基矽烷氣體(3MS)做為處理氣 10體。為自氮氧化矽氣體形成絕緣膜15b,在設定流動後即以三 甲基氧石夕烧氣體(TMS)與氧化氮(N2〇)做為處理氣體。 經濟部智¾財產局員工消費合作社印製 圖16係在圖15之後之製造步驟中,半導體裝置之局部剖 面圖,而圖17則係沿圖16之線Χ2-Χ2之剖面圖。在此闡釋 嵌入第三層互連結(互連結)L3。在互連結罩絕緣膜15b上沉 15積絕緣膜(第一絕緣膜)11c。絕緣膜11〇之材料及形成方法 與採用上述低-K材料之絕緣膜iia、11b類似。在絕緣膜11c 上沉積絕緣膜(第一絕緣膜)12c。絕緣膜12c之材料、形成 方法及功能與絕緣膜12a、12b類似。在絕緣膜12c上沉積絕 緣膜15c。絕緣膜15c之材料、形成方法及功能與絕緣膜 20 15a、15b類似。在絕緣膜15c上沉積絕緣膜(第一絕緣膜) 、、、巴緣膜ud之材料、形成方法及功能與絕緣膜Da至 12c類似。依此實施例1,以上述低介電常數材料形成複數個 互連結層之絕緣膜,得以降低整體互連結電容,故可改善具銅 系列嵌入互連結結構之半導體裝置之操作速度。 -42- t @ ®ϋϊίΝ8)Α4 )---- 200305951 A7 B7 五、發明說明(η) 在這些絕緣膜15c、11d、12d中,自上觀之,所形成之互 連結穿圳(互連結開孔)16b為帶狀。在此互連結穿圳16b 中,埋入構成嵌入第三層互連結之傳導障壁膜17b與主導 體膜18b。在絕緣膜15b、11c、12c中,自上觀之,所形成之 5穿孔(互連結開孔)彳9大致為圓形,且自互連結穿圳16b之 底表延伸至嵌入第二層互連結L2之上表面。嵌入第三層互連 結L3經埋於穿孔19中之傳導障壁膜i7b與主導體膜18b電 氣互連結至嵌入第二層互連結L2。此嵌入第三層互連結L3係 以雙波紋法形成。特別述之,於絕緣膜15c、11d、12d中形成 10互連結穿圳16b,並於絕緣膜15b、11c、12c中形成穿孔19 後,即依序沉積傳導障壁膜17b與主導體膜(第二導體膜) 18b。換言之,同時埋入互連結穿圳彳此與穿孔19及傳導障壁 膜17b與主導體膜18b。以與礙入第二層互連結匕2之傳導障 土膜17a與主導體膜iga類似方法,沉積傳導障壁膜17匕與 I5 =體膜18b。傳導障壁膜17b與主導體膜勸之材料亦與傳 早壁膜17a與主導體膜18a類似。如同嵌入第二層互連結 2之形成,以CMP將傳導障壁膜17b與主導體膜i8b拋光 經濟部智慧財產局員工消費合作社印製 Γ與形核人第二層互賴L2時採狀類似步驟,形成 甘欠2第二層互連結L3。嵌入第三層互連結L3與嵌入第二層互 20連、、、σ L2頬似,在其上角落處具圓錐形體。在絕緣膜I?"與嵌 入第三層互連結L3上,沉積互連結罩絕緣膜(第二絕緣膜, 其稍後可為第—絕緣膜)15d。此絕緣膜15d與絕緣膜15a、 15b類似。 、 圖18係嵌入第二層互連結L2或嵌入第三層互連結之 -43-
200305951 A7
局部放大剖關。依此實_,嵌H互連結L2 •入 =層互連結L3之主導體膜18a或18b之上祕處具圓錐形 體。故得以舒緩施加於與其上角落對應之部分主雜膜伽或 10 (鄰近傳導障壁膜17a或仍)之電場。根據本發明人之 研究,對應於主導體膜18a或之上角落處部分之電場□ 對傳導障壁膜17a或17b之上角落部分之電場E2之比可控制 為1 : 2。換言之,對應於主導體膜18a或彳肋之上角落處部 分之電場強度可降至傳導障壁膜17a或17b之上航之電場強 度的-半。此外,可維持具高擴散係數之銅製之主導體膜伽 或伽與絕緣膜12b或12d (其中易形成漏電流徑)之CMp 表面(第二表面)之距離。故得以抑制或避免兩相鄰嵌入第二 層互連結L2、L2或兩相祕人第三層互連結L3、L3間形成漏 電流徑。因而改善TDDB生命期。 (實施例2) 15 圖19係依本發明之另一實施例之半導體裝置之製造步驟流 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 程圖。在此實施例2中,如圖19所示,在設定流動步驟1〇6 中,形成嵌入互連結之主導體膜之圓錐形體。特別述之,當互 連結罩絕緣膜係由氮化石夕膜、碳化秒膜、SjCN膜或氮氧化石夕 膜製成時,在饋送設定流動之處理氣體前,先饋送諸如氮氣或 20氦氣等載運氣體。而將開始饋送載運氣體至開始饋送處理氣體 之時間,設定較慣常設定流動長,在僅引入載運氣體期間施行 退火,並將階段溫度設定如實施例1中所述溫度,晶圓1W歷 經與實施例1之圓形成步驟中所述類似之退火處理,藉以於嵌 入互連結之主導體膜18a或18b之上角落處形成圓錐形體。在 -44-
200305951 A7
此情況下,未加入圓錐形體形成步驟,故得以簡化製造過程, 並促進製造時間之縮短。除上述步驟外,此實施例概與實施例 1類似。 (實施例3 ) 5 在μ施例3中,將描述形成互連結罩絕緣膜為多層膜。以 絶緣膜做為多層膜之因係為解決本發明人首次發現之問題,當 以諸如上述”PE-TMS”(Can()n產品)等膜做為互連結: 絕緣膜時’在形成絕緣膜時,傳導障壁膜之氧化係無可避免。 圖20係依本發明之進一步實施例,在半導體裝置製造期間之 10 局部剖面圖。 15 經濟部智慧財產局員工消費合作社印製 20 在腔與外界空氣隔絕下,歷經上述氨電祕理後,即以 CVD沉積互連結罩絕緣膜(第二絕緣膜)伽於嵌人第二層互 ,結上2之上表面與絕緣膜12b上,沉積絕緣膜伽係為避免 欲入第二層互連結L2之傳導障壁膜仍之暴露部分氧化。故 在„氧化障壁絕緣膜(第三絕緣膜)15Μ於絕緣膜伽 二、甘入入第—層互連結L2之上’以抑制或避免傳導障壁膜17a 氧化(亦即保護使之免於氧化)後,利用電聚CVD (採用三甲 基氧钱(TMS,化學式:洲卿3)2)氣體與氧化氮 (N2〇)氣體之混合氣體),沉積氮氧 ,(第四絕緣膜)動例如他一 =數二3.9)於絕緣膜15b1,同時轉腔與空氣隔絕之真空狀 怨。稭此絕緣膜,在沉積氮氧化石夕(Si〇N)膜後,即可抑制或 避免傳導P早壁膜仍氧化。故得以抑制或避免非所欲之銅擴散 至主導體膜18a巾(否則將因傳導障壁膜仍氧化而發生》 一 -45_ 張尺度適用中國 iJJ^(CNS)A4 規格(21〇χ2^^·-------- 200305951 A7
訂 ά 線
200305951 (nh3)氣或三甲基氧魏(TMS)氣體與氮(⑹氣之混合 氣體。在此情況下,氧化障壁絕緣膜15M厚約i至10奈米。 在其上之絕緣膜15b2厚度如第一步驟中所述。絕緣膜ι5Μ或 15b2之含氮置約1至8%。剛形成絕緣膜伽]時,腔中壓力 5為例如約0_5至1.0陶爾(=66 6612至133·332帕斯卡),三 甲墓氧魏氣體流速為例如約彻至15〇立方公分/分鐘, 氣體流速為例如0立方公分/分鐘或更低,若採用%氣體,則 其流速為例如約4000立方公分/分鐘或更低,若採用_氣 體,則其流速為例如約1500立方公分,分鐘或更低,施加於電 10漿強化CVD系統之上下電極之功率與第一方法類似。絕緣膜 15b2之形成方法與第—方法中所述類似。由於在第二方法 中,絕緣膜15b1與15b2兩者均可自氮氧化石夕膜形成,諸如且 低介電常數之”PE-TMS”,故與第一方法相較,第二方法得以降 低互連結電容,並改善半導體裝置之操作速度。此外,藉由自 is氮氧化石夕膜(諸如具優良抗濕性之”PE_TMS”)形成整體絕緣膜 15b (絕緣膜15M與I5b2),故得以改善半導體裝置之可靠 性。 第二方法係以電漿強化CVD沉積形成諸如上述”pE_丁MS” 等氮氧化矽膜之氧化障壁絕緣膜15b1,其中在一形成膜時, 20即採用具低氧化性且在含氧物(尤其是具高氧化性之N2C^中 還原之含ΝΑ氣體。在此情況下,絕緣膜伽亦具抑制或 避免銅擴散之功能。為還原含氧物,以滿足上述條件,採用^ 甲基氧矽烷氣體、%氣體與〇2氣體混合物;三甲基氧矽烷: 體、NH3氣體與〇2氣體混合物;及三甲基氧石夕烧氣體、叫氣 -47- ’、 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐)
經濟部智慧財產局員工消費合作社印製 200305951 A7 五、發明說明(46 ) 體⑷氣體與〇2氣體混合物;或三甲基氧石夕烧氣體、咖3氣 體與N2〇氣體之氣體混合物。在此情況下,n2氣或NH3氣係 充做氣體混合物中之稀釋氣體。 絕緣膜15b1及I5b2之厚度與第二方法中所述類似。剛形 5成絕緣膜15b1時,腔中壓力及施加於電漿強化CVD系統之上 下私極之功率與第一或第二方法類似。當剛形成膜時,若以三 甲基氧矽烷氣體、%氣體與〇2氣體混合物做為處理氣體,則 三甲基氧矽烷氣體、叫氣體與〇2氣體之流速分別為例如約75 至150立方公分/分鐘、約4000立方公分/分鐘或更低,及約 10 4000立方公分/分鐘或更低。當採用三甲基氧石夕燒氣體、叫 15 軋體與〇2氣體混合物時,三甲基氧石夕烧氣體、NH3氣體與〇2 氣體流速分別為例如約75至15〇立方公分/分鐘、約·或 更低及約4000立方公分/分鐘或更低。當採用三甲基氧石夕烷 氣體、NH3氣體、%氣體與〇2氣體混合物時,三甲基氧石夕烷 氣體、NH3氣體、%氣體與〇2氣體流速分別為例如約乃至 1㈤立方公分/分鐘、約15〇〇或更低、約4_立方公分/分 -’:約4000立方公分/分鐘。當採用三甲基氧矽烷氣體、 經濟部智慧財產局員工消費合作社印制农 20 2氣體NH3氣體混合物時,三曱基氧石夕燒氣體、心〇氣 體、叫氣體流速分別為例如約75至15〇立方公如分鐘、約 4_立方公分/分鐘,及約测立方公分,分鐘。絕緣膜撕 之形成條件與第一和第二方法類似。做為第三方法之一應用, “件下,以電漿 CVD沉積出自僅械 整體絕緣膜伽。在此情況下,由於改變氣體類型及 -48- 本紙張尺度適用中規格(21〇x297 &f 200305951 A7
者之控制,故易於形成絕緣罩絕緣膜並可縮短膜形成時間。 在上述中,利用三曱基氧石夕烧氣體形成氮化石夕膜(si0N, 氣含量約1至8% )。惟氣體並不以之為限,亦可採用其它氣 體。對在第-方法中之絕緣膜15b2之形成,以及在第二方 5中之絕、緣膜15b1、15b2之形成而言,氣體混合物係選自單石夕 烷·、雙矽烷及TEOS、氨氣及氧氣(或%〇或臭氧(〇3)),或 可採用含氮氣之氣體混合物。或者,氣體混合物可選自三甲美 石夕烧(3MS)氣體及四甲基石夕烷(4MS),與氧化氮(N2〇) ^ 體(或氧化氮氣體與氨(叫));或於氣體混合物内具添加之 1〇氮氣(吣”氮氣(NO與氧氣(〇2)混合物;或氮氣、氧氣 與氨氣混合物。在此情況下,因可抑制或避免傳導障壁膜 之暴露部分之氧化,故可改善TDDB生命期。傳導障壁膜之氧 化及應付此問題之對策,揭示於本申請案之發明人申請之曰本 專利申請案第2001-341339號(2001·11·7提出)。 15 (實施例4) 在實施例4中,並未配置如實施例彳中所述絕緣罩絕緣 膜。圖21係依本發明之進一步實施例之半導體裝置之局部剖 經濟部智慧財產局員工消費合作社印製 面圖。在低小材料製之絕緣膜11b之上表面(CMp表面,第 二表面)上,直接沉積互連結罩絕緣膜i5b,無絕緣罩絕緣 20膜。互連結罩絕緣膜15b可具如實施例3中之多層結構。在此 貝施例4中,因未配置絕緣罩絕緣膜,並可改善TDDB生命 期’降低互連結電容。故得以改善半導置之操作速度。 (實施例5) 在貝轭例5中,描述去除傳導障壁膜之嵌入互連結結構 -49- 200305951 A7 B7 經濟部智慧財產局員工消費合作社印制衣 五、發明說明(Μ ) (無障壁嵌入互連結結構)。圖22係依本發明之進一步實施 例:在其製造步驟_之半導體裝置之局部剖面圖。鋼 主導體膜18a直接與互連結穿圳16a中之絕緣膜11b、i2a、 12b、15a接觸。在實施例5中,亦可如實施例4般,去除絕 5緣罩絕、緣膜。依實施例5,可大幅縮減礙入第二層互連結匕2之 互連結電阻。此外,無傳導障壁膜而直接連接不同層之互連 結,使得不同層互連結間之接觸電阻大幅降低,並可降低在微 小穿孔處之電阻。故即使將互連結穿圳16或穿孔9最小化, 亦可改善半導體裝置性能。因而進步至以最小化之互連結建構 ίο 半導體裝置。 在實施例5中,在主導體膜18a之上角落形成圓錐形體, 亚將銅製之主導體膜18a《上角落配置與該處相鄰之絕緣膜 12b之上表面(CMp表面,第二表面)遠離。如實施例1至 4,這些外型造成得以抑制或避免在兩相鄰嵌入第二層互連結 L2 L3中之漏電流徑之形成,藉以改善丁DDB生命期。此處 之…、P早i肷入互連結結構揭示於例如日本專利申讀案第2〇〇〇_ 104015、2000-300853 或 2001-131941 號(2001 _8·27 提 出)。 (實施例6 ) 在實施例6中,採用上述嵌入互連結之特性供互連結層, 用。在半導體裝置之互連結層中,在接近在基板上形成之微巧 構件之下互連結層處之兩相鄰互連結之間距較窄,且在未來 趨顯著。在此情況下,因鋼擴散更易導致對TDDB生命期之損 害。在接近在相對遠離微小構件之上互連結層處之兩相鄰互連 15 20 之 曰 訂 線 -50- Ϊ紙張尺度適用中國國家標準(CNS)A4規^ 200305951 A7
I I I I I I I i 訂 線
200305951 50 五、發明說明 2成錐形體,且主導體膜18a之上表面(第—表面)高度近乎 ^邑緣膜伽上表面(MP表面,第二表面)高度疊合。上述 二構有助於改善TDDB生命期。此外,因可滿足處理_智替限 制,故得以完整提供高可靠性之半導體裝置。 (實施例7) 10 15 20 在實施例7巾’描舰互連結狀變欽互連結之圓錐形 體狀態之技術。圖24概略_實施例7之半導體裝置之局部 剖面圖。在此實施例7中,所形成之所有下互連結層N1 互連結層Nx之歲入互連結Ln均具與實施例]類似結構,、亦 即’,主導體膜18之上表面具圓錐形體,例外處在於所形成 ^下肷入互連結Ln之主導體膜18之圓錐形體之深度⑴較上 嵌入互連結Ln之主導體膜18之圓錐形體之深度犯深。換言 之’在下互連結| N1之嵌入互連結Ln中之主導體膜18之上 角洛之圓錐形體較大。形成此結構之原因係如實施例6中所 述’下互連結層之兩相鄰互連結間距窄,故因傾向出現銅擴散 =致TDDB生命期降低’同時上互連結層之兩相鄰互連結間距 寬,並相對具邊界。藉由增加在下互連結層…(其中銅擴散 傾向造成困擾)之欲入互連結Ln中之圓錐形體大小,並藉由 縮減在上互連結層Nx (具_邊界)之嵌人互連結^中之^導 體膜18a之圓錐形體大小而滿讀理·智慧限制,即可藉由延 伸TDDB生命期而整體改善半導體裝置之可靠性。 曰 (實施例8) 在實施例8中’描述依互連結層改變嵌入互連結之步階差 狀態之技術。圖25概略闡釋實施例8之半導體裝置之局部剖 -52- 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) 200305951 五、發明說明(51) 10 15 20 面圖。在實施例8中,所形成夕π r 土 M 蚊下互赌層N1壯互連靜 NX之肷入互連結Ln之主導體膜18之上表 ): 度較傳導障壁膜17a與絕緣膜19h + 弟表面)间 生、 巴緣膜12b之上表面(CMP表面,第 一表面)高度低。藉由此結構,主導體膜伽與CMP表面間 ;.,:導體膜183之角落與互連結層Ln之角落= == 之^落)(電場密集)位置間距變大,可抑制或避 成漏電流徑,糟以改善TDDB生命期。 =减入互連結Ln之主導體膜18a之上表面形成步階 差之技術’揭示於本中請案之發明人之日本專利 测-1麵號⑽⑽提出)。但在實施例盘實 =或71所述理由触,所形成之孩人互連結層Ln、中之 广體膜18a之步階差泊大於上後入互連結層Ln中之主導體 膜伽之步階差d4。故依此實施例8,藉由在下互連結層… (其中銅擴散傾向造成困擾)之嵌人互連結u之上表面上步 成相對大步,即可改善TDDB生命期,啊勤在上互連 =層Nx (具-邊界)之礙入互連結Ln中之主導體膜伽之上 、面上形成姆小倾差,藉以抑織避免因步階差產生之不 便’滿足處理·智慧關’即可整财善半導體I置之可靠性。 做為實施例8之-改良實例,可形成下互連結層N1之後 入互連結Ln如實施例!等中所述嵌入互連結結構並可形成 上^連結層Nx之嵌入互連結Ln如圖25中所示。做為另一改 一貝Ή可开乂成下互連結層N1之後入互連結如圖25中所 八並可形成上互連結層Nx之嵌入互連結如實施例1等中 所述結構或圖23之上互連結層Νχ中採用之常見結構。 -53- 訂 線 x 297 公爱 y 五、發明說明(52) 5 10 15 經濟部智慧財產局員工消費合作社印製 20 (實施例9) 在霄_ 9巾’觀依—互連結層改變步階絲態之另一 26概略_實_ 9之半導體裝置之局部剖面圖。 山、&例9中’所形成之下互連結層N1或上互連結層吣之 連結Ln之主導體膜他與傳導障壁膜仍之上表面 (弟-表面)高度較絕緣膜12b之上表面(CMp表面,第二 ^面)高度低。在上述結構中,維持主導體膜他遠離cMp 、面’可抑制或避免形成漏電流徑,藉以改善·Β生命期。 此外’在肷入互連結Ln之上表面形成步階差之技術,揭示 於本申請案之發明人之日本專利申請案第2〇〇1_131941號 (2〇〇1·8·27提幻。但在此實蘭巾,與實細6至8中所述 理由顓似’所形成之下互連結層N1之嵌人互連結Ln中之主導 體臈18a之步階差d5大於上互連結層Νχ之嵌入互連結Ln中 之主導體膜18a之步階差d6。依實_ 9,藉由在下互連結層 N1 (其中銅擴散傾向造成困擾)之嵌人互連結Ln之上表面二 形成相對大步階差,即可改善TDDB生命期。換言之,藉由在 上互連結層Nx (具一邊界)之欲入互連結Ln之上表面:形成 相對小步·’藉以抑制或避免因倾差產生之不便,滿足處 理-智慧限制,即可整體改善半導體裝置之可靠性。 免 做為實施例9之-改良實例,可形成下互連結層⑷之嵌 入互連結Ln如實施例彳等中所述嵌人互連結結構,並可形成 上互連結層Nx之散入互連結Ln如圖26中所示。做為另一改 良實例,可形成下互連結層N1之嵌入互連結Ln如圖26中所 不,並可形成上互連結層Νχ之傲入互連結Lri 士口實施例]等中 -54- 200305951 A7 B7 五、發明說明 所述甘人入互H结構或圖23之上互連結層似中採用之常見嵌 入互連結結構。 (實施例10) 在貝轭例10中,將接著描述依互連結層位置,改變嵌入互 5連、:=之=^差狀怨之進一部技術。圖27概略闡釋實施例10之 半^ #置之局剖面圖。在實施例1〇中,下互連結層Μ與 上互連π層Nx之嵌人互連結Ln之主導體膜他與傳導障麵 仍之上f面南度(CMP表面、第一表面)較絕賴12b之 (第表面)犬出。此結構得以維持主導體膜遠離 10 ΜΡ表面’藉財卩制或避免形細電流徑。在此情況下,由 於結構中並無絕緣罩絕緣膜,故可於上述還原電聚處理後即餘 d移除心緣膜11b之上表面而形成上述步階差,簡化製造過 15 此於嵌入互連結Ln之上表面形成步階差之技術,揭示於例 本t明之發明人之日本專利申請案帛%⑴-⑶州號 2〇01 ·8.27提出)。在實施例10中,與上述實施例6至9中 今述里由類似’所形成之下互連結層…之敌人互連結L中之 :出步階差d7大於上互連結層Νχ之欽互連結^中之突出 白差藉由在下互連結層N1 (其中銅擴散傾向造成困 二之散入互連結Ln之上表面上形成相對大突出步階差,即 =善山TDDB生命期,同時藉由在上互連結層Νχ (具一邊 &入互連、、"Ln之上表面上形成相對小突出步階差,藉 =制或避免时產生之不便,滿足處理智雜制,即可 t體改善半導體裝置之可靠性。 -55- 20 200305951 Α7
做為實施例10之-改良實例,可形成下互連結層N1之栽 入互連結Ln如實施例1、8或9中所述欲入互連結結構,並可 形成上互連結層Nx之嵌人互連結Ln如圖27中所示。做為另 -改良實例,可形成下互連結層N1之後入互連結u如圖W 5中所示,並可形成上互連結層Νχ之嵌入互連結u如實施例 I 8或9中所述叙入互連結結構或圖23之上互連結層吣中 採用之常見嵌入互連結結構。 本發明人已藉由一些實施例特別描述本發明。但應知曉, 本發明並不以之為限,在不挣離本發明之範嘴下,可於一^ 10 圍内變化。 、 & 在實施例1至10中描述,在無真空中斷下,在後續處理 (電漿處理)後形成罩膜。或者,可於形成罩膜之後,一經後 續處理,即施行真空中斷。在前者情況下,更可彰顯本發明之 優點’但以後續氨電漿處理形成之錢化物層會抑職化物層 15之形成,即使晶圓在真空中斷後暴露於空氣中亦然。故即使在 真空中斷後,亦可提供本發明之部分優點。 _ 經濟部智慧財產局員工消費合作社印製 可改良在欲人互連結中之主導體膜上形成圓錐形體之方 法,不以實施例1至10中所述為限。例如可藉由在直空條件 下’維持腔之内部於電漿強化⑽系、統中,並在不饋送氣體 2〇至腔下’對晶圓做熱處理,而在歲入互連結中之主導體膜之— 上角落處形成圓錐形體。 、 在山上述實施财,在供形成絕緣罩絕緣顧之卿成裝置 中之欣入互連結之上表面上形成圓錐形體。或者,可在膜形成 裝置外之氫氣或氮氣中,以熱處理於嵌入互連結之上表面上形 -56- 本纸張尺度適用中國國家標準(CNS)A4規格(210χ297^ϊ) 200305951 A7 五、發明說明 55 5 10 15 成圓錐形體。更特财之,將低溫氫氣退火之溫度或時間設定 較上述實施例高或長。在此情況下,溫度約S 3GG至40(TC較 仏’同時處理時間約秒至分較佳。 至此彳田述之本發明人所為之本發明之應用,係具CMIS電 路之半導體裝置之製造技術,其已成為本發明之背景,但本發 明並不以之為限,其尚可應躲各種半導體裝置之製造方法 中’諸如具諸如動態隨機存取記憶體(DRAM)、靜態隨機存取 記憶體(SRAM)、,_記顏、電子可清除程式化唯讀記憶體 (EEPROM)與含鐵電子隨機存取記憶體(_⑷之記憶體 電路之半導體裝置;具諸如微處理狀邏輯電路之半導體裝 ί路半導體裝置’其在—半導體基板上具上述記憶體 2。本發明至少適用於具嵌入銅互連結結構之半 、半V脱體電路裝置、電子電職置 微機械之製造方法。 j下將4述本巾請案所揭本發明之典型發騎具優點。 面P:由=電場密集之部分主導體膜與周圍絕緣膜之拋光表 =離,可改善具由銅組成之主導體膜之互連結間之介電崩潰 圖式之代號說明 2絕緣穿圳 4閘極電極 6半導體區 8絕緣膜 10栓 1半導體基板 3閘極絕緣膜 5側壁 7半導體 9接觸孔 :區 200305951 A7 B7 五、發明說明(56) 11絕緣膜 13穿孔 15絕緣膜 17傳導障壁膜 12絕緣膜 14栓 16互連結穿圳 18主導體膜 i 訂 線 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 200305951 A8 B8 C8 D8 15 經 部 智 慧 財 產 局 員 工 消 t 合 作 社 印 製 20 六、申請專利範圍 1· 一種製造一半導體裝置之方法,包括步驟: (a) 沉積一第一絕緣膜於一晶圓上; (b) 於該第一絕緣膜中形成一互連結開孔; (c) 在該互連結開孔中形成一互連結,其具一主要由銅 5 組成之導體膜; (d) 在該互連結開孔之開孔側上之該導體膜之一角落處 形成一錐形體;及 (e) 於該第賴及該互連結上沉積—第二絕緣膜。 2. 如申請專利範圍第1項之方法,其中該錐形體為一圓雜 1〇形體’其係藉由在-1氣、-氨氣或—氮·氨氣體混合物之一環 境下’或在-採用氣體之電t環境下,熱處理該晶圓形成。 3. 如申請專利範圍第彳項之方法,進—步包括在步驟 ⑷與(e)之間’使該第-絕軸及該互連結歷經還原電浆 -雷# 圍第3項之方法,其中該還弱漿處理係 乳電4處理、風電4處理,或_其處理之也人。 步驟料纖圍以奴妓,射够驟⑷具子 (a1)沉積一相對厚絕緣膜;及 (a2)在步驟(a1)後,於其上 其介電常數㈣姆厚絕顧高。 、相H緣臈’ 6·如申請專利範圍第5項之 介電常數較二氧化石夕膜低。 〃 5亥相對厚絕緣膜之 '如申請翻細第5項之 • 59· ,、甲該相對溥絕緣膜係
    200305951六、申 8 8 00 81 A B c D 10 15 經濟部智慧財產局員工消費合作社印製 由碳化矽、碳氮化矽或氮氧化矽製成。 8.如申請專利範圍第㈣之方法,其中該第一絕緣膜係一 單物質絕緣膜,其介電常數較二氧化石夕膜低。 9·如申請專利範圍第1項之方法,其中該第二絕緣膜係由 碳化秒、碳氮化矽或氮氧化矽製成。 1〇·—種製造一半導體裝置之方法,包括步驟: (a) 沉積一第一絕緣膜於一晶圓上; (b) 於該第一絕緣膜中形成一互連結開孔; (c) 在5亥互連結開孔中形成一互連結,其具一第一導體 膜,具可抑制銅擴散之障壁性質,及一第二導體膜,主要由鋼 組成; (d) 在5亥互連結開孔之開孔側上之該第二導體膜之一角 落處形成一錐形體;及 (e) 於該第-絕緣膜及該互連結上沉積_第二絕緣膜。 11·如申請專利範圍㈣項之方法,其中該錐形體為一圓 錐形體,其係藉由在-氮氣、—氨氣或_氮_氨氣體混合物之一 環境下,或在-採用氣體之電漿環境下,熱處理該晶圓形成。 12. 如申請專利範圍第1〇項之方法,進一步包括在步驟 (c)與(e)之間’使該第—絕緣膜及該互連結歷經還原電聚 20 處理。 13. 如申請專利範,12項之方法,其中該還原電聚處理 係氨電聚處理、氫電製處理,或採用其處理之組合。 14·如申請專利制第1(3項之方法,其中該步驟⑷包 括子步驟:
    200305951 A8 B8 C8 D8
    申請專利範圍 (a1)沉積一相對厚絕緣膜;及 甘人ia2)在步驟(a1)後’於其上沉積m絕緣膜, 其介電常數較該相對厚絕緣膜高。 、 15.如申請專利範圍第14項之方法其中該 之介電常數較二氧化矽膜低。 子象膜 … 16·如申請專利範圍第14項之方法,其中該相對薄絕緣膜 係由碳化矽、碳氮化矽或氮氧化矽製成。 17. 如申請專利㈣項之方法,其中該第—絕緣膜係 -單-物m緣膜,其介電常數較二氧化賴低。 ίο 15 經濟部智慧財產局員工消費合作社印製 20 18. 如申請專利範圍第17項之方法,其巾該步驟(c 括子步驟: (c1)於該第-絕緣膜上及該互連結開孔巾沉積該第一 導體膜; ' (c2)於該第一導體膜上沉積該第二導體膜; (C3)選擇性拋光該第二導體膜,俾於該互連結開孔中 留下該第一及第二導體膜;及 (C4)選擇性拋光該第一導體膜,俾於該互連結開孔中 留下該第一及第二導體膜,藉此形成該互連結。 19·如申請專利範圍第18項之方法,其中在該拋光步驟 (C3)中採用之研磨料之量為0或少於在該拋光步驟(c4)中 採用者。 20.如申請專利範圍第10項之方法,其中該第二絕緣膜係 由碳化矽、碳氮化矽或氮氧化矽製成。 21·如申請專利範圍第1G項之方法,其中該第二絕緣膜係 -61 · … 本紙張尺度適用中國國家標準(CNS)A4規格(210U97公嫠) 200305951 Δβ Α8 Β8 C8
    -疊層膜,其储由沉積-第三絕緣骐於該第_絕緣膜及該互 連結上,俾保護該第-導體膜使之免於氧化,並接著採用一含 氧氣體’以化學蒸氣沉積沉積-第四絕緣膜於該第三絕緣膜 上。 5 22·如申請專利範圍第21項之方法,其中該第三絕緣膜係 由‘氮化矽製成。 23. 如申請專利範圍第21項之方法,其中該第三絕緣膜係 由碳化矽或碳氮化矽製成。 24. 如申請專繼圍第21項之方法,其中該第四絕緣膜係 10採用一含三曱基氧矽烷及氧化氮之氣體混合物,以化學蒸氣沉 積製成之氮氧化矽。 ' 25·如申請專職圍第21項之方法,其巾該第三絕緣膜較 該第四絕緣膜薄。 15 26.-種製造-半導體裝置之方法,在—晶圓上形成之各 複數個互連結層之金屬化中,包括: (a) 沉積一第一絕緣膜於一晶圓上; (b) 於該第一絕緣膜中形成一互連結開孔; 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 (c) 在該互連結開孔中形成一互連結,其包含一第一導 體膜,具可抑制銅擴散之障壁性質,及一第二導體膜,主要由 20 銅組成;及 (d) 於該第一絕緣膜及該互連結上沉積一第二絕緣膜, 其中在該等複數個互連結層中,在相對接近該晶圓之主 表面之互連結層之金屬化步驟中,該方法進一步包括在該等步 驟(c)與(d)之間,在該互連結開孔之開孔側上之該第二導 -62 本紙張尺度適用中國國家標準(CNS)A4規格(21〇χ297公釐) 8 8 8 81 A BCD 200305951 六、申請專利範圍 體膜之一角落處形成一錐形體之步驟,及 其中在該等複數個互連結層中,在相對遠離該晶圓之主 表面之互連結層之金屬化步驟中,未形成該錐形體而沉積該第 二絕緣膜。 5 27_—種製造—半導體裝置之方法,在-晶m上形成之複 數個互連結層之至少二層之金屬化中,包括: (a) 沉積一第一絕緣膜於一晶圓上; (b) 於該第一絕緣膜中形成一互連結開孔; (c) 在该互連結開孔中形成一互連結,其包含一第一導 10體膜,具可抑制銅擴散之障壁性質,及一第二導體膜,主要由 銅組成;及 (d) 在該互連結開孔之開孔側上之該第二導體膜之一角 落處形成一錐形體;及 (e) 於該第-絕緣膜及該互連結上沉積_第二絕緣膜, 15 其巾在鱗複㈣互連結射,在姆接近該晶圓之主 表面之互連結層之互連結中形成之該第二導體膜之該錐形體大 於在該等複數個互連結射,在相__晶圓之主表面之互 經濟部智慧財產局員工消費合作社印製 連結層之互連結中之該第二導體膜之該錐形體。 28.-種製造-半導體裝置之方法,在一晶圓上形成之各 20 複數個互連結層之金屬化中,包括: (a)沉積一第一絕緣膜於一晶圓上; ⑻於該第-絕緣财形成_互連結開孔; (C)在3互連結開孔巾形成_互輕,其包含—第一導 體膜,具可抑制銅擴散之障壁性質,及一第二導趙膜’主要由 -63 - ------—___________ 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公复)'—"一~ -- 200305951 AR /Vo B8 C8 ---------D8 六、申請專利範圍 銅組成;及 (d)於該第一絕緣膜及該互連結上沉積一第二絕緣膜, 其中在該等複數個互連結層中,在相對接近該晶圓之主 表面之互連結層之金屬化形成步驟中,該方法進一步包括在該 5等步驟(c)與(d)之間,在沉積該第二絕緣膜之一側上之該 第二導體膜之第一表面與在被沉積該第二絕緣膜之一側上之該 第一導體膜之第二表面間形成一步階差之步驟,及 其中在該等複數個互連結層中,在相對遠離該晶圓之主 表面之互連結層之金屬化步驟中,在未形成該步階差之步驟 10 下,沉積該第二絕緣膜。 29.—種製造一半導體裝置之方法,在一晶圓上形成之複 數個互連結層之至少二層之金屬化中,包括: (a) 沉積第一絕緣膜於一晶圓上; (b) 於該第一絕緣膜中形成一互連結開孔; 15 (c) 在5亥互連結開孔中形成一互連結,其包含一第一導 體膜,具可抑制銅擴散之障壁性質,及一第二導體膜,主要由 銅組成;及 經濟部智慧財產局員工消費合作社印製 20 (d) 在被>儿積一第二絕緣膜之一側上之該第二導體膜之 第一表面與在被沉積該第二絕緣膜之一側上之該第一導體膜之 第二表面間形成一步階差;及 (e) 於该第一絕緣膜及該互連結上沉積該第二絕緣膜, 其中在該等複數個互連結層中,在相對接近該晶圓之主 表面之互連結層之互連結中形成之該第=導體臈之該步階差大 於在相對遠離該晶圓之主表面之互連結層之互連結中之該第二 -64 本紙張尺度適用中國國家標準(CNS)A4規格(2Ι〇χ297 & 200305951 A A8 B8 C8
    10 15 經濟部智慧財產局員工消费合作社印製 20 導體膜之該步階差。 加.如申請專利範圍第29項之方法,其中該步 選擇性侧該第二導體膜之第_表面 )係 之主表面之-方向中,形成相對於該第_絕緣膜之第 回 陷之該第二導體膜之第一表面之步驟。 面凹 .儿-種製造—半導體裝置之方法,在_晶圓上 複數個互連結層之金屬化中,包括: 谷 (a) 沉積一第一絕緣膜於一晶圓上; (b) 於該第一絕緣膜中形成一互連結開孔; (c) 在該互連結開孔中形成一互連結,其包含一第一導 體膜,具可抑個紐讀m體膜,銅組成;及 ' (d) 於該第-絕賴及該互連結上沉積—第二絕緣膜, 其中在該等複數個互連結層中,在相對接近該晶圓之主 表面之互連結層之金屬化步驟巾,财法進—步包括在該等步 驟(c)與(d)之間,在被沉積該第二絕緣膜之一側上之該等 第及第一導體膜之第一表面與在被沉積該第二絕緣膜之一側 上之該第一導體膜之第二表面間形成一步階差之步驟,及 其中在該等複數個互連結層中,在相對遠離該晶圓之主 表面之互連結層之金屬化步驟中,在未形成該步階差下,沉積 該第二絕緣膜。 、 32·-種製造-半導體裝置之方法,在一晶圓上形成之複 數個互連結層之至少二層之金屬化中,包括·· (a)沉積第一絕緣膜於一晶圓上;
    200305951 六、申請專利範圍 10 15 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 20 (b) 於該第一絕緣膜中形成一互連結開孔; (c) 在5亥互連結開孔中形成一互連結,其包含一第—導 體膜,具可抑制銅擴散之障壁性質,及一第二導體膜,主 銅組成;及 、 (d)在被沉積一第二絕緣膜之一側上之該等第一及第二 導體膜之第-表面與在被沉積該第二絕緣膜之一側上之該第一 絕緣膜之第二表面間形成一步階差;及 ()於孩第一絕緣膜及该互連結上沉積該第二絕緣膜, 其中在該等複數個互連結層中,在相對接近該晶圓之主 表面之互連、、Ό層之互連結巾形成之該步階差大於在該等複數個 互連結層中,在相對遠離該晶圓之主表面之互連結層之互連社 中之該步階差。 σ 33·如申請專利範圍第32項之方法,其中該步驟⑷係 選擇,侧該等第一及第二導體膜之第一表面之一表面層,俾 於L之主表面之_方向中,形成相對於該第—絕緣膜之抛 光表面凹陷之該等第—及第二導體膜之第—表面之步驟。 34·如申請專利範圍第32項之方法,其中該步驟⑷係 選擇性該第-絕緣膜之第二表面,俾於遠離該晶圓之主表 方向中使"亥等第一及第二導體膜之第-表面相對於該 第一絕緣膜之第二表面突出之步驟。 35. —種半導體跤置,包括·· ()互連結開孔,其係在_第一絕緣膜中形成; ⑻互連結,其係藉由埋入-第-導體膜,具可抑制 銅擴散之障壁性質,及一第—! 第一導體膜,主要由銅組成,於互連 # 計 線 66 ^張尺度適时關家標準(^7^721q χ 29τ^ 200305951 六、申請專利範圍 之開孔側上之第二_ 之一角落處 上 C) -第二絕緣膜,其係沉積於第—絕緣膜及互連結 5 10 15 經濟部智慧財產局員工消費合作社印製 20 36·-種半導體裝置,其具在一半導體基板上形成之複數 個互連結層,該複數個互連結層之至少二層包括: (a) -互連結開孔,其係在_第_絕緣财形成; (b) 互連結’其鋪由埋人_第_導親,具可抑制 銅擴政之障壁性質,及—第二導體膜,主要由銅組成 ,於互連 結開孔而形成;及 (c) 第_絕緣膜,其係沉積於第—絕緣膜及互連結 上,其中: 在複數個互連結層巾,在相對接近半導縣板之主表面 之互連、、Ό層巾形成_互連結,其備有在互連賴孔之開孔側 上之第一導體膜之一角落處之一錐形體;及 在複數個互連結層巾’在姉雜半導體基板之主表面 之互連結層巾’未於第二導體膜上形成轉體但碱一互連 、=’俾使所形成之被沉積第二絕_之—侧上之第二導體膜之 第-表面位準大致等於在被沉積第二絕緣膜之—側上之第一絕 緣膜之第二表面位準。 37·-種半導體裝置,其具在一半導體基板上形成之複數 個互連結層,至少複數個互連結層之二包括: (a) -互連糊孔’其係在_第_絕緣膜中形成; (b) 互連結,其係藉由埋入一第一導體膜,具可抑制 • 67 - 六、申請專利範圍 銅擴散之障壁性質,及-第二導體膜,主要由銅組成,於互連 結開孔’且在互連結開孔之開孔側上之第二導體膜之一角落處 具一錐形體而形成;及 (C) 一第二絕緣膜,其係沉積於第一絕緣膜及互連姓 5上,其中: ^ 在複數個互連結層中,在相對接近晶圓之主表面之互連 結層之互連結中之第二導體膜之錐形體大於在複數個互連結層 中’在相對遠離晶圓之主表面之互連結層之互連結巾之第二導 體膜之錐形體。 1〇 38·一種半導體震置,其具在一半導體基板上形成之複數 個互連結層,至少複數個互連結層之二包括: (a) -互連結開孔,其係、在_第_絕緣膜中形成; ⑻-互連結,其係藉由埋人_第—導體膜,具可抑制 銅擴散之障壁性質,及一第二導體膜,主要由銅組成於互連結 15 開孔而形成;及 (C) -第二絕緣膜,其係沉積於第一絕緣膜及互連結 上,其中·· 、在複數個互連結層中,在相對接近半導體基板之主表面 之互連結層之互連結中,於被沉積第二絕緣膜之一側上之第二 20導體膜之第-表面與被沉積第二絕緣膜之一側上之第一導體膜 及第-絕緣膜之第二表面間形成_步階差; 在稷數個互連結射,在相對遠離半導縣板之主表面 之互連結層之互連財,所形成之第二導體膜之第 一表面位準 大致等於第-導體膜及第_絕緣膜之第二表面位準。 -68 - 六 申凊專利範圍 個互二種!導體裝置’其具在一半導體基板上形成之複數 個互連_層,至少複數個互連結層之二包括: (a)互連結開孔,其係在一第一絕緣膜中形成; 釣料(2 —互連結,其储由埋人—第-導體膜,具可抑制 ^月之_性質,及—第二導難,主要由銅喊,於互連 二二且在被崎—第二絕緣膜之—側上之第二導體膜之第 緣膜ί第在緣膜之—側上之第—導體膜及第一絕 補之第一表面間具一步階差而形成;及 10 中 (C)沉積於第-絕緣膜及互連結上之第二絕緣膜,其 在魏做魏射,在姉麵半導體練之主表面 互連結層之互連結中之步階差係大於在複數個互連結層中, 差相對遠離半導體基板之主表面之互連結層之互連結中之步階 15 20 40·如申請專利範圍第39項之半導體裝置,其中於半導體 基板之主表面之—方向中’藉由形成相對於第-導體膜及第一 體膜之第—表面而形成步階 礼-種半導Μ置,其具在—半導體基板上形成之複數 個互連結層,該複數個互連結層之至少二層包括· ⑷-互連結·,其係在_第_絕緣膜中形成; 結開孔而形成,具;及 ()互連、其係藉由埋入一第一導體膜,具可抑制 銅,散之障壁性質,及—第二導體膜(主要由銅域,於互連 -69 本紙張尺度適財賴家標準(CNS)A4規格(21〇x297公爱) 200305951 A8 B8 C8
    (C)-第二絕緣膜,其係沉積於第—絕緣膜及互連結 上,其中: 在複數個互連結層巾,在相對接近半導縣板之主表面 之互連結層之互連結巾,沉鋪二絕緣膜之—側上之第一 及第-導體膜之第-表面與被沉積第二絕緣膜之—側上之第一 絕緣膜之第二表面間形成一步階差; 在複數個互連結層中,在相對遠離半導體基板之主表面 之互連結層之互連結巾,所形成之第—及第二導體膜之第一表 面位準大致等於第一絕緣膜之第二表面位準。 10 15 經濟部智慧財產局員工消費合作社印製 20 42·-種半導體裝置,其具在—半導體基板上形成之複數 個互連結層,該複數個互連結層之至少二層包括: (a) —互連結開孔,其係在一第一絕緣膜中形成; (b) —互連結,其係藉由埋入一第一導體膜,具可抑制 銅擴散之障壁性質,及—第二導體膜,主要由銅組成,於互連 結開孔,且在被沉積一第二絕緣膜之一側上之第一及第二導體 膜之第一表面與在被沉積第二絕緣膜之一側上之第一絕緣膜之 第二表面間具一步階差而形成;及 (c) 沉積於第一絕緣膜及互連結上之第二絕緣膜,其 中: N 在複數個互連結層中,在相對接近半導體基板之主表面 之互連結層之互連結中之步階差係大於在複數個互連結層中, 在相對运離半導體基板之主表面之互連結層之互連結中之步階 差。 43·如申請專利範圍第42項之半導體裝置,其中於半導體 -70 - 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) 200305951 六 申睛專利範圍 ,板之主表面之—方向中’藉由形成相•第—絕緣膜之第二 义面呈凹陷之第一及第二導體膜之第—表面而形成步階差。 44.如申請專利範圍第42項之半導體裝置,其中於遠離該 晶圓之主表面之一方向中,使第一及第二導體膜之第一表面相 對於第一絕緣膜之第二表面呈突出而形成步階差。 計 經濟部智慧財產局員工消費合作社印製
    .線·
TW091136326A 2001-12-18 2002-12-17 Method for manufacturing semiconductor integrated circuit device and semiconductor integrated circuit device TW200305951A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001384535A JP2003188254A (ja) 2001-12-18 2001-12-18 半導体装置の製造方法および半導体装置

Publications (1)

Publication Number Publication Date
TW200305951A true TW200305951A (en) 2003-11-01

Family

ID=19187735

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091136326A TW200305951A (en) 2001-12-18 2002-12-17 Method for manufacturing semiconductor integrated circuit device and semiconductor integrated circuit device

Country Status (4)

Country Link
US (2) US6890846B2 (zh)
JP (1) JP2003188254A (zh)
KR (1) KR20030051359A (zh)
TW (1) TW200305951A (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3810718B2 (ja) * 2002-08-30 2006-08-16 富士通株式会社 半導体装置の製造方法
KR100483290B1 (ko) * 2002-12-14 2005-04-15 동부아남반도체 주식회사 반도체 소자의 제조 방법
JP4638140B2 (ja) * 2003-07-09 2011-02-23 マグナチップセミコンダクター有限会社 半導体素子の銅配線形成方法
US20050048768A1 (en) * 2003-08-26 2005-03-03 Hiroaki Inoue Apparatus and method for forming interconnects
US6902440B2 (en) 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
JP2005142369A (ja) 2003-11-06 2005-06-02 Renesas Technology Corp 半導体装置の製造方法
US7205235B2 (en) * 2003-12-15 2007-04-17 Freescale Semiconductor, Inc. Method for reducing corrosion of metal surfaces during semiconductor processing
JP2005203476A (ja) * 2004-01-14 2005-07-28 Oki Electric Ind Co Ltd 半導体装置の配線構造及びその製造方法
US6897147B1 (en) * 2004-01-15 2005-05-24 Taiwan Semiconductor Manufacturing Company Solution for copper hillock induced by thermal strain with buffer zone for strain relaxation
JP3810411B2 (ja) * 2004-01-23 2006-08-16 Necエレクトロニクス株式会社 集積回路装置
KR100593737B1 (ko) * 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
JP2005217262A (ja) * 2004-01-30 2005-08-11 Matsushita Electric Ind Co Ltd 化合物半導体装置の製造方法
KR100520683B1 (ko) * 2004-02-06 2005-10-11 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
KR20060043082A (ko) * 2004-02-24 2006-05-15 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의 제조방법
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
JP4493444B2 (ja) * 2004-08-26 2010-06-30 株式会社ルネサステクノロジ 半導体装置の製造方法
US7268073B2 (en) * 2004-11-10 2007-09-11 Texas Instruments Incorporated Post-polish treatment for inhibiting copper corrosion
JP4469737B2 (ja) * 2005-02-10 2010-05-26 株式会社東芝 半導体装置の製造方法
JP5371425B2 (ja) * 2005-06-02 2013-12-18 アプライド マテリアルズ インコーポレイテッド 酸化物膜に窒素を組込むための方法及び装置
JP4956919B2 (ja) * 2005-06-08 2012-06-20 株式会社日立製作所 半導体装置およびその製造方法
TW200709294A (en) * 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
KR100628244B1 (ko) * 2005-06-15 2006-09-26 동부일렉트로닉스 주식회사 반도체소자의 제조방법
US7348672B2 (en) * 2005-07-07 2008-03-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with improved reliability
JP4548280B2 (ja) * 2005-08-31 2010-09-22 ソニー株式会社 半導体装置の製造方法
US7572741B2 (en) * 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
JP2007134592A (ja) * 2005-11-11 2007-05-31 Renesas Technology Corp Cu配線形成方法
US20070155186A1 (en) * 2005-11-22 2007-07-05 International Business Machines Corporation OPTIMIZED SiCN CAPPING LAYER
US8921193B2 (en) * 2006-01-17 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-gate dielectric process using hydrogen annealing
US20070184652A1 (en) * 2006-02-07 2007-08-09 Texas Instruments, Incorporated Method for preparing a metal feature surface prior to electroless metal deposition
US20070218214A1 (en) * 2006-03-14 2007-09-20 Kuo-Chih Lai Method of improving adhesion property of dielectric layer and interconnect process
US7531384B2 (en) * 2006-10-11 2009-05-12 International Business Machines Corporation Enhanced interconnect structure
US7799689B2 (en) * 2006-11-17 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for chemical mechanical polishing including first and second polishing
JP5010265B2 (ja) * 2006-12-18 2012-08-29 株式会社東芝 半導体装置の製造方法
US7749893B2 (en) * 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
KR100897826B1 (ko) * 2007-08-31 2009-05-18 주식회사 동부하이텍 반도체 소자의 제조 방법
US8648444B2 (en) * 2007-11-29 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer scribe line structure for improving IC reliability
US20090176367A1 (en) * 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
US20090189282A1 (en) * 2008-01-10 2009-07-30 Rohm Co., Ltd. Semiconductor device
DE102008035235B4 (de) * 2008-07-29 2014-05-22 Ivoclar Vivadent Ag Vorrichtung zur Erwärmung von Formteilen, insbesondere dentalkeramischen Formteilen
CN102689265B (zh) * 2011-03-22 2015-04-29 中芯国际集成电路制造(上海)有限公司 化学机械抛光的方法
JP2012060148A (ja) * 2011-11-14 2012-03-22 Renesas Electronics Corp 半導体集積回路装置の製造方法
CN104025263B (zh) 2011-12-30 2018-07-03 英特尔公司 自封闭的非对称互连结构
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US9209272B2 (en) 2013-09-11 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation and etching post metal gate CMP
US10090396B2 (en) 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
US10867851B2 (en) * 2018-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and semiconductor device and method of forming the same
US10770395B2 (en) * 2018-11-01 2020-09-08 International Business Machines Corporation Silicon carbide and silicon nitride interconnects
KR20200061192A (ko) * 2018-11-23 2020-06-02 삼성전자주식회사 웨이퍼 평탄화 방법 및 이에 의한 이미지 센서
CN112071803A (zh) * 2020-09-17 2020-12-11 长江存储科技有限责任公司 一种半导体结构及其制造方法
CN112909037A (zh) * 2021-01-28 2021-06-04 上海华力集成电路制造有限公司 一种改善图像传感器随机电报噪声和图像非均一性的方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244534A (en) 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
US5350488A (en) * 1992-12-10 1994-09-27 Applied Materials, Inc. Process for etching high copper content aluminum films
JPH1050632A (ja) 1996-07-30 1998-02-20 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US6130161A (en) * 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
JPH11111843A (ja) 1997-10-01 1999-04-23 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP3137087B2 (ja) * 1998-08-31 2001-02-19 日本電気株式会社 半導体装置の製造方法
JP2000277612A (ja) 1999-03-29 2000-10-06 Nec Corp 半導体装置の製造方法
JP2000323479A (ja) 1999-05-14 2000-11-24 Sony Corp 半導体装置およびその製造方法
JP3907151B2 (ja) * 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法
US6794304B1 (en) * 2003-07-31 2004-09-21 Lsi Logic Corporation Method and apparatus for reducing microtrenching for borderless vias created in a dual damascene process

Also Published As

Publication number Publication date
KR20030051359A (ko) 2003-06-25
US20050196954A1 (en) 2005-09-08
JP2003188254A (ja) 2003-07-04
US20030114000A1 (en) 2003-06-19
US6890846B2 (en) 2005-05-10

Similar Documents

Publication Publication Date Title
TW200305951A (en) Method for manufacturing semiconductor integrated circuit device and semiconductor integrated circuit device
TWI291760B (en) Method for producing semiconductor device and semiconductor device
TW531892B (en) Semiconductor integrated circuit device and fabrication method for semiconductor integrated circuit device
US6730594B2 (en) Method for manufacturing semiconductor device
US6797606B2 (en) Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
TW557575B (en) Fabrication method of semiconductor integrated circuit device
US6436302B1 (en) Post CU CMP polishing for reduced defects
US20030032292A1 (en) Fabrication method of semiconductor integrated circuit device
JP5360209B2 (ja) 半導体装置及びその製造方法
US8227337B2 (en) Semiconductor device having metal wirings of laminated structure
TW574736B (en) Method of manufacturing semiconductor integrated circuit device
US20050067702A1 (en) Plasma surface modification and passivation of organo-silicate glass films for improved hardmask adhesion and optimal RIE processing
JP2006179948A (ja) 半導体装置の製造方法および半導体装置
JP2003347299A (ja) 半導体集積回路装置の製造方法
US7172963B2 (en) Manufacturing method of semiconductor integrated circuit device that includes chemically and mechanically polishing two conductive layers using two polishing pads that have different properties
JP4343486B2 (ja) 半導体記憶装置の製造方法
JP4154895B2 (ja) 半導体装置の製造方法
CN1953143A (zh) 低介电材料与多孔隙低介电层的回复方法
JP2003124311A (ja) 半導体装置の製造方法および半導体装置
US20220277964A1 (en) Chemical mechanical planarization slurries and processes for platinum group metals
JP2005167120A (ja) 半導体装置及び半導体装置の製造方法
TW202324735A (zh) 使用錳及石墨烯之用於敷金屬的阻障方案
JP2005340602A (ja) 半導体装置及び半導体装置の製造方法
JPH09213695A (ja) 半導体装置及びその製造方法
JP2006135045A (ja) 研磨装置及び半導体装置の製造方法