SG176566A1 - Methods for constructing an optimal endpoint algorithm - Google Patents

Methods for constructing an optimal endpoint algorithm Download PDF

Info

Publication number
SG176566A1
SG176566A1 SG2011085149A SG2011085149A SG176566A1 SG 176566 A1 SG176566 A1 SG 176566A1 SG 2011085149 A SG2011085149 A SG 2011085149A SG 2011085149 A SG2011085149 A SG 2011085149A SG 176566 A1 SG176566 A1 SG 176566A1
Authority
SG
Singapore
Prior art keywords
endpoint
potential
signatures
real
optimal
Prior art date
Application number
SG2011085149A
Other languages
English (en)
Inventor
Jiangxin Wang
Andrew James Perry
Vijayakumar C Venugopal
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/555,674 external-priority patent/US8983631B2/en
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG176566A1 publication Critical patent/SG176566A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
SG2011085149A 2009-06-30 2010-06-29 Methods for constructing an optimal endpoint algorithm SG176566A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US22210209P 2009-06-30 2009-06-30
US22202409P 2009-06-30 2009-06-30
US12/555,674 US8983631B2 (en) 2009-06-30 2009-09-08 Arrangement for identifying uncontrolled events at the process module level and methods thereof
PCT/US2010/040477 WO2011002810A2 (en) 2009-06-30 2010-06-29 Methods for constructing an optimal endpoint algorithm

Publications (1)

Publication Number Publication Date
SG176566A1 true SG176566A1 (en) 2012-01-30

Family

ID=43411705

Family Applications (5)

Application Number Title Priority Date Filing Date
SG2011085149A SG176566A1 (en) 2009-06-30 2010-06-29 Methods for constructing an optimal endpoint algorithm
SG2011085172A SG176567A1 (en) 2009-06-30 2010-06-29 Arrangement for identifying uncontrolled events at the process module level and methods thereof
SG2011085107A SG176147A1 (en) 2009-06-30 2010-06-29 Methods and arrangements for in-situ process monitoring and control for plasma processing tools
SG2011085131A SG176565A1 (en) 2009-06-30 2010-06-29 Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
SG2011085115A SG176564A1 (en) 2009-06-30 2010-06-29 Methods and apparatus for predictive preventive maintenance of processing chambers

Family Applications After (4)

Application Number Title Priority Date Filing Date
SG2011085172A SG176567A1 (en) 2009-06-30 2010-06-29 Arrangement for identifying uncontrolled events at the process module level and methods thereof
SG2011085107A SG176147A1 (en) 2009-06-30 2010-06-29 Methods and arrangements for in-situ process monitoring and control for plasma processing tools
SG2011085131A SG176565A1 (en) 2009-06-30 2010-06-29 Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
SG2011085115A SG176564A1 (en) 2009-06-30 2010-06-29 Methods and apparatus for predictive preventive maintenance of processing chambers

Country Status (6)

Country Link
JP (5) JP2012532464A (ko)
KR (5) KR101741271B1 (ko)
CN (5) CN102473631B (ko)
SG (5) SG176566A1 (ko)
TW (5) TWI495970B (ko)
WO (5) WO2011002804A2 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102332383B (zh) * 2011-09-23 2014-12-10 中微半导体设备(上海)有限公司 等离子体刻蚀工艺的终点监控方法
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
CN104730372B (zh) * 2013-12-13 2018-08-10 朗姆研究公司 基于rf阻抗模型的故障检测
US10192763B2 (en) * 2015-10-05 2019-01-29 Applied Materials, Inc. Methodology for chamber performance matching for semiconductor equipment
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US11067515B2 (en) * 2017-11-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting a wafer process chamber
CN108847381A (zh) * 2018-05-25 2018-11-20 深圳市华星光电半导体显示技术有限公司 测试基板及延长测试基板使用寿命的方法
US10651097B2 (en) 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
DE102019209110A1 (de) * 2019-06-24 2020-12-24 Sms Group Gmbh Industrielle Anlage, insbesondere Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie und Verfahren zum Betreiben einer industriellen Anlage, insbesondere einer Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie
WO2023286142A1 (ja) * 2021-07-13 2023-01-19 株式会社日立ハイテク 診断装置及び診断方法並びにプラズマ処理装置及び半導体装置製造システム
US20230195074A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Diagnostic methods for substrate manufacturing chambers using physics-based models
US20230260767A1 (en) * 2022-02-15 2023-08-17 Applied Materials, Inc. Process control knob estimation

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5272872A (en) * 1992-11-25 1993-12-28 Ford Motor Company Method and apparatus of on-board catalytic converter efficiency monitoring
JP3301238B2 (ja) * 1994-10-25 2002-07-15 三菱電機株式会社 エッチング方法
JPH08148474A (ja) * 1994-11-16 1996-06-07 Sony Corp ドライエッチングの終点検出方法および装置
JPH09306894A (ja) * 1996-05-17 1997-11-28 Sony Corp 最適発光スペクトル自動検出システム
JP3630931B2 (ja) * 1996-08-29 2005-03-23 富士通株式会社 プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5993615A (en) * 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
EP1025276A1 (en) * 1997-09-17 2000-08-09 Tokyo Electron Limited Device and method for detecting and preventing arcing in rf plasma systems
US5986747A (en) 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP2001338856A (ja) * 2000-05-30 2001-12-07 Tokyo Seimitsu Co Ltd 半導体製造システムのプロセスコントローラ
JP4554037B2 (ja) * 2000-07-04 2010-09-29 東京エレクトロン株式会社 消耗品の消耗度予測方法及び堆積膜厚の予測方法
US6567718B1 (en) * 2000-07-28 2003-05-20 Advanced Micro Devices, Inc. Method and apparatus for monitoring consumable performance
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6821794B2 (en) 2001-10-04 2004-11-23 Novellus Systems, Inc. Flexible snapshot in endpoint detection
JP2003151955A (ja) * 2001-11-19 2003-05-23 Nec Kansai Ltd プラズマエッチング方法
WO2003102724A2 (en) * 2002-05-29 2003-12-11 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US6825050B2 (en) * 2002-06-07 2004-11-30 Lam Research Corporation Integrated stepwise statistical process control in a plasma processing system
US20040031052A1 (en) * 2002-08-12 2004-02-12 Liberate Technologies Information platform
US6781383B2 (en) * 2002-09-24 2004-08-24 Scientific System Research Limited Method for fault detection in a plasma process
AU2003270866A1 (en) * 2002-09-30 2004-04-23 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
EP1556936B1 (en) * 2002-10-25 2016-12-07 S & C Electric Company Method and apparatus for control of an electric power system in response to circuit abnormalities
JP4365109B2 (ja) * 2003-01-29 2009-11-18 株式会社日立ハイテクノロジーズ プラズマ処理装置
US6969619B1 (en) * 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
JP2004295348A (ja) * 2003-03-26 2004-10-21 Mori Seiki Co Ltd 工作機械の保守管理システム
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
ATE415702T1 (de) * 2003-05-09 2008-12-15 Unaxis Usa Inc Endpunkt-erkennung in einem zeitlich gemultiplexten verfahren unter verwendung eines hüllkurvenalgorithmus
JP2004335841A (ja) * 2003-05-09 2004-11-25 Tokyo Electron Ltd プラズマ処理装置の予測装置及び予測方法
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
JP4043408B2 (ja) * 2003-06-16 2008-02-06 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
KR100567745B1 (ko) * 2003-09-25 2006-04-05 동부아남반도체 주식회사 스퍼터링용 타겟의 수명예측 장치 및 수명예측방법
US8036869B2 (en) * 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US7930053B2 (en) * 2003-12-23 2011-04-19 Beacons Pharmaceuticals Pte Ltd Virtual platform to facilitate automated production
US7233878B2 (en) * 2004-01-30 2007-06-19 Tokyo Electron Limited Method and system for monitoring component consumption
US7146237B2 (en) * 2004-04-07 2006-12-05 Mks Instruments, Inc. Controller and method to mediate data collection from smart sensors for fab applications
JP2006004992A (ja) * 2004-06-15 2006-01-05 Seiko Epson Corp 研磨装置管理システム、管理装置、管理装置制御プログラム及び管理装置制御方法
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7292045B2 (en) * 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
JP4972277B2 (ja) * 2004-11-10 2012-07-11 東京エレクトロン株式会社 基板処理装置の復帰方法、該装置の復帰プログラム、及び基板処理装置
US7828929B2 (en) * 2004-12-30 2010-11-09 Research Electro-Optics, Inc. Methods and devices for monitoring and controlling thin film processing
JP4707421B2 (ja) * 2005-03-14 2011-06-22 東京エレクトロン株式会社 処理装置,処理装置の消耗部品管理方法,処理システム,処理システムの消耗部品管理方法
JP2006328510A (ja) * 2005-05-30 2006-12-07 Ulvac Japan Ltd プラズマ処理方法及び装置
TWI338321B (en) * 2005-06-16 2011-03-01 Unaxis Usa Inc Process change detection through the use of evolutionary algorithms
US7409260B2 (en) * 2005-08-22 2008-08-05 Applied Materials, Inc. Substrate thickness measuring during polishing
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
KR20080006750A (ko) * 2006-07-13 2008-01-17 삼성전자주식회사 반도체소자 제조용 플라즈마 도핑 시스템
US20080063810A1 (en) * 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
CN100587902C (zh) * 2006-09-15 2010-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 在线预测刻蚀设备维护的方法
JP2008158769A (ja) * 2006-12-22 2008-07-10 Tokyo Electron Ltd 基板処理システム、制御装置、設定情報監視方法および設定情報監視プログラムを記憶した記憶媒体
US7548830B2 (en) * 2007-02-23 2009-06-16 General Electric Company System and method for equipment remaining life estimation
US7674636B2 (en) * 2007-03-12 2010-03-09 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate process uniformity
US8055203B2 (en) * 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP2008311338A (ja) * 2007-06-13 2008-12-25 Harada Sangyo Kk 真空処理装置及びこれに用いる異常放電予知装置、並びに、真空処理装置の制御方法
KR100892248B1 (ko) * 2007-07-24 2009-04-09 주식회사 디엠에스 플라즈마 반응기의 실시간 제어를 실현하는 종말점 검출장치 및 이를 포함하는 플라즈마 반응기 및 그 종말점 검출방법
US20090106290A1 (en) * 2007-10-17 2009-04-23 Rivard James P Method of analyzing manufacturing process data
JP4983575B2 (ja) * 2007-11-30 2012-07-25 パナソニック株式会社 プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
WO2011002810A4 (en) 2011-06-03
SG176565A1 (en) 2012-01-30
TW201112302A (en) 2011-04-01
JP2012532462A (ja) 2012-12-13
CN102473590B (zh) 2014-11-26
TWI495970B (zh) 2015-08-11
CN102804353A (zh) 2012-11-28
TWI536193B (zh) 2016-06-01
WO2011002810A2 (en) 2011-01-06
JP5624618B2 (ja) 2014-11-12
JP2012532460A (ja) 2012-12-13
CN102474968B (zh) 2015-09-02
KR101708078B1 (ko) 2017-02-17
TWI484435B (zh) 2015-05-11
CN102474968A (zh) 2012-05-23
KR20120037419A (ko) 2012-04-19
TWI509375B (zh) 2015-11-21
WO2011002803A2 (en) 2011-01-06
KR101741271B1 (ko) 2017-05-29
KR101741274B1 (ko) 2017-05-29
WO2011002804A3 (en) 2011-03-03
SG176147A1 (en) 2011-12-29
SG176567A1 (en) 2012-01-30
WO2011002800A2 (en) 2011-01-06
KR20120037421A (ko) 2012-04-19
KR20120037420A (ko) 2012-04-19
CN102804353B (zh) 2015-04-15
KR101708077B1 (ko) 2017-02-17
CN102473631A (zh) 2012-05-23
CN102473590A (zh) 2012-05-23
CN102804929B (zh) 2015-11-25
WO2011002811A3 (en) 2011-02-24
JP5599882B2 (ja) 2014-10-01
KR101741272B1 (ko) 2017-05-29
TW201129936A (en) 2011-09-01
WO2011002811A2 (en) 2011-01-06
JP5629770B2 (ja) 2014-11-26
TW201115288A (en) 2011-05-01
SG176564A1 (en) 2012-01-30
WO2011002804A2 (en) 2011-01-06
WO2011002800A3 (en) 2011-04-07
CN102473631B (zh) 2014-11-26
CN102804929A (zh) 2012-11-28
WO2011002803A3 (en) 2011-03-03
TWI480917B (zh) 2015-04-11
JP5693573B2 (ja) 2015-04-01
KR20120101293A (ko) 2012-09-13
JP2012532464A (ja) 2012-12-13
TW201129884A (en) 2011-09-01
KR20120047871A (ko) 2012-05-14
JP2012532463A (ja) 2012-12-13
TW201108022A (en) 2011-03-01
JP2012532461A (ja) 2012-12-13
WO2011002810A3 (en) 2011-04-14

Similar Documents

Publication Publication Date Title
SG176566A1 (en) Methods for constructing an optimal endpoint algorithm
US8538572B2 (en) Methods for constructing an optimal endpoint algorithm
CN107408522B (zh) 使用高维变量选择模型确定关键参数
CN112884193A (zh) 预测装置、预测方法及记录介质
JP2015503763A5 (ko)
CN109116243B (zh) 一种动力电池的异常检测方法和装置
US9696717B2 (en) Apparatus and method of segmenting sensor data output from a semiconductor manufacturing facility
CN109857528A (zh) 数据迁移的速度调整方法、装置、存储介质和移动终端
CN107992840A (zh) 多分段多阈值约束的时间序列模式查找方法和系统
JP3823804B2 (ja) 信号処理方法及び装置、信号処理プログラム、並びに記録媒体
CN110008049A (zh) 一种基于互联网平台的数据修正方法、终端设备及介质
JP2008250910A (ja) データマイニング方法及び工程管理方法
KR20210029595A (ko) 키워드 스폿팅 장치, 방법 및 컴퓨터 판독 가능한 기록매체
JP5207695B2 (ja) 工程管理方法およびその方法を利用したシステム
US20210140925A1 (en) Automated resonance test on multicomponent components by means of pattern recognition
CN107808830B (zh) 一种多光刻层电性参数相关性分析系统和分析方法
Bergmann Data Mining for Manufacturing: Preventive Maintenance, Failure prediction, Quality Control
TWI751540B (zh) 資料處理裝置、方法、及半導體製造裝置
TW201833535A (zh) 用於調諧經調變晶圓之敏感度及判定用於經調變晶圓之處理視窗之系統,方法以及非暫時性電腦可讀媒體
CN109711036B (zh) 飞行控制系统试验结果的评估方法
CN114385294A (zh) 一种交互式陷印方法及系统
CN116048864A (zh) 一种服务器异常检测处理方法及装置
CN114324187A (zh) 监测刻蚀腔体颗粒的方法、装置、服务器及可读存储介质
KR20120134298A (ko) 헬리콥터 비행시험 데이터 처리 방법 및 컴퓨터 판독 가능한 기록매체
JP2023517873A (ja) 寿命初期故障を起こしやすいダイの予測