KR940016484A - 반도체장치 및 그 제조방법 - Google Patents

반도체장치 및 그 제조방법 Download PDF

Info

Publication number
KR940016484A
KR940016484A KR1019920023807A KR920023807A KR940016484A KR 940016484 A KR940016484 A KR 940016484A KR 1019920023807 A KR1019920023807 A KR 1019920023807A KR 920023807 A KR920023807 A KR 920023807A KR 940016484 A KR940016484 A KR 940016484A
Authority
KR
South Korea
Prior art keywords
layer
diffusion barrier
metal
semiconductor device
forming
Prior art date
Application number
KR1019920023807A
Other languages
English (en)
Other versions
KR960010056B1 (ko
Inventor
이상인
Original Assignee
김광호
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김광호, 삼성전자 주식회사 filed Critical 김광호
Priority to KR1019920023807A priority Critical patent/KR960010056B1/ko
Priority to DE4342047A priority patent/DE4342047B4/de
Priority to JP5309549A priority patent/JPH077077A/ja
Priority to CN93120818A priority patent/CN1039562C/zh
Priority to US08/164,920 priority patent/US5552341A/en
Publication of KR940016484A publication Critical patent/KR940016484A/ko
Application granted granted Critical
Publication of KR960010056B1 publication Critical patent/KR960010056B1/ko
Priority to US08/929,419 priority patent/US5939787A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 웨이퍼상에 형성된 그 표면부위에 실리화층을 갖는 확산 방지막을 포함하는 반도체 장치 및 그 제조 방법이 개시되어 있다. 반도체 웨이퍼상에 확산 방지막을 형성하고, 상기 확산 방지막에, 상기 실릴화층을 실리콘 수소화물을 이용한 플라즈마 처리에 의해 또는 SiH4를 이용한 반응성 스퍼터링 방법에 의해 형성시킨다. 상기 실릴화층상에 금속충을 형성하는 경우 확산 방지막과 금속과의 습윤성이 향상되어 입자가 크게 형성되고, 금속층의 접촉구 또는 비아홀에의 단차 도포성을 증가시킨다. 또한, 실리화층상에 금속층을 형성한 후 고온 열처리 하면, 금속층의 리플로우 특성이 좋아져서 접촉구나 비아홀의 매립을 용이하게 한다. 이와 같은 방법으로 배선층을 형성하는 경우 신뢰성이 좋은 금속 배선이 수득되고, 후속 신터 공정이 필요 없게 된다.

Description

반도체장치 및 그 제조방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제11도 내지 제13도는 본 발명의 방법에 의한 반도체 장치의 배선층 형성방법의 일 실시예를 나타내기 위한 개략도이고; 제14도 내지 제16도는 본 발명의 방법에 의한 개구부 매몰방법의 일 실시예를 나타내기 위한 개략도이고; 제17도 및 제18도는 본 발명의 방법에 의한 반도체 장치의 배선층 형성방법의 다른 실시예를 나타낸 것이고; 제19도 내지 제21도는 본 발명의 방법에 의한 반도체 장치의 배선층 형성 방법의 또 다른 실시예를 나타내기 위한 개략도이다.

Claims (23)

  1. 반도체 웨이퍼상에 형성되고, 그 표면부위에 실리화층을 갖는 확산 방지막을 포함하는 반도체 장치.
  2. 제 1 항에 있어서, 상기 확산 방지막은 내화금속 또는 내화 금속 화합물로 구성되어 있음을 특징으로 하는 반도체 장치.
  3. 제 2 항에 있어서, 상기 내화금속은 티타늄, 지르코늄, 탄탈륨 및 몰리브덴으로 구성된 군에서 선택된 어느 하나이고, 상기 내화 금속 화합물은 상기 내화금속의 화합물로 구성된 군에서 선택된 어느 하나임을 특징으로 하는 반도체 장치.
  4. 제 2 항에 있어서, 상기 확산 방지막은 내화금속으로 구성된 제 1 확산 방지막과 내화 금속화합물로 구성된 제 2 확산 방지막으로 구성된 복합막임을 특징으로 하는 반도체 장치.
  5. 제 1 항에 있어서, 상기 실릴화층상에 내화 금속 화합물로 구성된 제 3 확산 방지막이 형성되어 있음을 특징으로 하는 반도체 장치.
  6. 반도체 기판상에 형성된 절연막; 상기 절연막에 형성된 요부; 상기 절연막상에 형성되고, 실릴화층을 포함하는 확산 방지막; 상기 요부를 완전히 매립하는 제 1 금속층을 포함하는 반도체 장치.
  7. 제 6 항에 있어서, 상기 요부는 상기 반도체 기판의 불순물 도핑영역을 노출하는 접촉구 또는 반도체 장치의 하부 도전층을 노출하는 비아임을 특징으로 하는 반도체 장치.
  8. 제 6 항에 있어서, 상기 제 1 금속층상에 평탄한 표면을 갖는 제 2 금속층을 더 포함함을 특징으로 하는 반도체 장치.
  9. 반도체 기판상에 확산 방지막을 형성하고, 상기 확산방지막에 실릴화층을 형성시킴을 특징으로 하는 반도체 장치의 제조방법.
  10. 제 9 항에 있어서, 상기 실릴화층을 실리콘 수소화물을 이용한 플라즈마 처리에 의해 형성시킴을 특징으로 하는 반도체 장치의 제조방법.
  11. 제 9 항에 있어서, 상기 실리콘 수소화물로서 SiH4또는 Si2H6를 사용함을 특징으로 하는 반도체 장치의 제조방법.
  12. 제 9 항에 있어서, 상기 실릴화층을 SiH4를 이용한 반응성 스퍼터링 방법에 의해 형성시킴을 특징으로 하는 반도체 장치의 제조방법.
  13. 제 9 항에 있어서, 상기 확산 방지막을 형성한 후, 진공을 깨지 않고 연속적으로, 상기 실릴화층을 형성시킴을 특징으로 하는 반도체 장치의 제조방법.
  14. 제 9 항에 있어서, 상기 확산 방지막은 내화 금속을 증착하여 제 1 확산 방지막을 형성하고, 내화 금속 화합물을 증착하여 제 2 확산 방지막을 형성하여 수득한 복합막임을 특징으로 하는 반도체 장치의 제조방법.
  15. 제14항에 있어서, 상기 확산 방지막에 실릴화층을 형성한 후, 내화 금속 화합물을 증착하여 제 3 확산 방지막을 형성하는 공정을 더 포함함을 특징으로 하는 반도체 장치의 제조방법.
  16. 반도체 웨이퍼상에 내화금속으로 구성된 제 1 확산 방지막을 형성하고, 상기 제 1 확산 방지막상에, 내화금속화합물로 구성된 제 2 확산 방지막을 형성하고, 상기 제 2 확산 방지막상에 실릴화층을 형성한 후, 상기 실릴화층상에 내화 금속화합물로 구성된 제 3 실릴화층을 진공을 깨지않고 연속적으로 형성시킴을 특징으로 하는 반도체 장치의 제조방법.
  17. 반도체 기판상에 절연막을 형성하고, 상기 절연막에 요부를 형성하고, 상기 절연막상에 확산 방지막을 형성하고, 상기확산 방지막상에 실릴화층을 형성하고, 상기 실릴화층상에 제 1 금속층을 형성하는 것으로 구성된 반도체 장치의 제조방법.
  18. 제17항에 있어서, 상기 요부는 반도체 기판의 표면 부위에 형성된 불순물 도핑 영역을 노출하는 접촉구임을 특징으로 하는 반도체 장치의 제조방법.
  19. 제17항에 있어서, 상기 제 1 금속층은 Al 또는 Al합금을 저온에서 증착하여 형성시킨 것임을 특징으로 하는 반도체 장치의 제조방법.
  20. 제17항에 있어서, 상기 제 1 금속층을 형성한 후, 제 1 금속의 용융점 이하의 고온에서, 열처리하여 상기 제 1 금속의 물질로 상기 요부를 매립하는 공정을 더 포함함을 특징으로 하는 반도체 장치의 제조방법.
  21. 제20항에 있어서, 상기 열처리 이후에, 상기 요부를 매립하는 제 1 금속층상에 제 2 금속층을 형성하는 공정을 더 포함하는 것을 특징으로 하는 반도체 장치의 제조방법.
  22. 제21항에 있어서, 상기 제 2 금속층을 제 2 금속의 용융점 이하의 고온에서 열처리 하여 제 2 금속층의 표면을 평탄화하는 공정을 더 포함하는 것을 특징으로 하는 반도체 장치의 제조방법.
  23. 반도체 기판상에 절연막을 형성하고, 상기 절연막에 요부를 형성하고, 상기 절연막상에 확산 방지막을 형성하고, 상기 확산 방지막상에 실릴화층을 형성하고, 상기 실릴화층상에 제 1 금속층을 형성하고, 제 1 금속의 용융점이하의 고온에서, 열처리하여 상기 제 1 금속의 물질로 상기 요부를 매립하고, 상기 요부를 매립하는 제 1 금속층상에 제2 금속층을 형성하고, 상기 제 2 금속층을 제 2 금속의 용융점 이하의 고온에서 열처리하여 제 2 금속층의 표면을 평탄화하는 것으로 구성된 반도체 장치의 제조방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019920023807A 1992-12-10 1992-12-10 반도체장치 및 그 제조 방법 KR960010056B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1019920023807A KR960010056B1 (ko) 1992-12-10 1992-12-10 반도체장치 및 그 제조 방법
DE4342047A DE4342047B4 (de) 1992-12-10 1993-12-09 Halbleiterbauelement mit einer Diffusionsbarrierenschichtanordnung und Verfahren zu seiner Herstellung
JP5309549A JPH077077A (ja) 1992-12-10 1993-12-09 半導体装置及びその製造方法
CN93120818A CN1039562C (zh) 1992-12-10 1993-12-10 半导体器件及其制造方法
US08/164,920 US5552341A (en) 1992-12-10 1993-12-10 Semiconductor device and method for manufacturing the same
US08/929,419 US5939787A (en) 1992-12-10 1997-09-15 Semiconductor device having a multi-layer contact structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019920023807A KR960010056B1 (ko) 1992-12-10 1992-12-10 반도체장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR940016484A true KR940016484A (ko) 1994-07-23
KR960010056B1 KR960010056B1 (ko) 1996-07-25

Family

ID=19345138

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920023807A KR960010056B1 (ko) 1992-12-10 1992-12-10 반도체장치 및 그 제조 방법

Country Status (5)

Country Link
US (2) US5552341A (ko)
JP (1) JPH077077A (ko)
KR (1) KR960010056B1 (ko)
CN (1) CN1039562C (ko)
DE (1) DE4342047B4 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990026626A (ko) * 1997-09-25 1999-04-15 윤종용 반도체 공정의 금속배선 형성방법

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051490A (en) * 1991-11-29 2000-04-18 Sony Corporation Method of forming wirings
JP3201061B2 (ja) * 1993-03-05 2001-08-20 ソニー株式会社 配線構造の製造方法
US5514908A (en) * 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
KR0144956B1 (ko) * 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
US6251758B1 (en) * 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5989999A (en) * 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
CN1075243C (zh) * 1994-12-28 2001-11-21 松下电器产业株式会社 集成电路用电容元件及其制造方法
US5561083A (en) * 1994-12-29 1996-10-01 Lucent Technologies Inc. Method of making multilayered Al-alloy structure for metal conductors
EP0732731A3 (en) * 1995-03-13 1997-10-08 Applied Materials Inc Treatment of a layer of titanium nitride to improve resistance to high temperatures
KR0165813B1 (ko) * 1995-04-12 1999-02-01 문정환 접속홀의 플러그 형성 방법
US5736192A (en) * 1995-07-05 1998-04-07 Fujitsu Limited Embedded electroconductive layer and method for formation thereof
US6891269B1 (en) * 1995-07-05 2005-05-10 Fujitsu Limited Embedded electroconductive layer structure
JP3168400B2 (ja) * 1996-01-19 2001-05-21 日本プレシジョン・サーキッツ株式会社 半導体装置および半導体装置の製造方法
US6239492B1 (en) * 1996-05-08 2001-05-29 Micron Technology, Inc. Semiconductor structure with a titanium aluminum nitride layer and method for fabricating same
GB2322963B (en) * 1996-09-07 1999-02-24 United Microelectronics Corp Method of fabricating a conductive plug
GB9619461D0 (en) * 1996-09-18 1996-10-30 Electrotech Ltd Method of processing a workpiece
JPH10125627A (ja) * 1996-10-24 1998-05-15 Fujitsu Ltd 半導体装置の製造方法および高融点金属ナイトライド膜の形成方法
US5926736A (en) 1996-10-30 1999-07-20 Stmicroelectronics, Inc. Low temperature aluminum reflow for multilevel metallization
JPH10189730A (ja) * 1996-11-11 1998-07-21 Toshiba Corp 半導体装置及びその製造方法
US5861946A (en) * 1997-03-04 1999-01-19 Ast, Inc. System for performing contact angle measurements of a substrate
US5895267A (en) * 1997-07-09 1999-04-20 Lsi Logic Corporation Method to obtain a low resistivity and conformity chemical vapor deposition titanium film
JP3279234B2 (ja) * 1997-10-27 2002-04-30 キヤノン株式会社 半導体装置の製造方法
KR100506513B1 (ko) * 1997-12-27 2007-11-02 주식회사 하이닉스반도체 강유전체 캐패시터 형성 방법
US6482734B1 (en) * 1998-01-20 2002-11-19 Lg Semicon Co., Ltd. Diffusion barrier layer for semiconductor device and fabrication method thereof
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6734558B2 (en) 1998-08-20 2004-05-11 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with barium barrier film and process for making same
US6351036B1 (en) 1998-08-20 2002-02-26 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with a barrier film and process for making same
US6720654B2 (en) 1998-08-20 2004-04-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with cesium barrier film and process for making same
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6274486B1 (en) * 1998-09-02 2001-08-14 Micron Technology, Inc. Metal contact and process
US6187673B1 (en) 1998-09-03 2001-02-13 Micron Technology, Inc. Small grain size, conformal aluminum interconnects and method for their formation
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
JP3606095B2 (ja) * 1998-10-06 2005-01-05 セイコーエプソン株式会社 半導体装置の製造方法
JP3528665B2 (ja) 1998-10-20 2004-05-17 セイコーエプソン株式会社 半導体装置の製造方法
US6303972B1 (en) 1998-11-25 2001-10-16 Micron Technology, Inc. Device including a conductive layer protected against oxidation
US7067861B1 (en) * 1998-11-25 2006-06-27 Micron Technology, Inc. Device and method for protecting against oxidation of a conductive layer in said device
JP3533968B2 (ja) 1998-12-22 2004-06-07 セイコーエプソン株式会社 半導体装置の製造方法
US6114198A (en) * 1999-05-07 2000-09-05 Vanguard International Semiconductor Corporation Method for forming a high surface area capacitor electrode for DRAM applications
DE19942119C2 (de) * 1999-09-03 2002-08-08 Mosel Vitelic Inc Oberflächenbehandlung für eine Metallschicht
JP2001308094A (ja) 2000-04-19 2001-11-02 Oki Electric Ind Co Ltd 配線薄膜の堆積方法
US6194310B1 (en) * 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6509274B1 (en) * 2000-08-04 2003-01-21 Applied Materials, Inc. Method for forming aluminum lines over aluminum-filled vias in a semiconductor substrate
JP2003092271A (ja) * 2001-07-13 2003-03-28 Seiko Epson Corp 半導体装置及びその製造方法
US6835655B1 (en) 2001-11-26 2004-12-28 Advanced Micro Devices, Inc. Method of implanting copper barrier material to improve electrical performance
US6703308B1 (en) * 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of inserting alloy elements to reduce copper diffusion and bulk diffusion
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US7696092B2 (en) 2001-11-26 2010-04-13 Globalfoundries Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US6861349B1 (en) 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
KR100564605B1 (ko) * 2004-01-14 2006-03-28 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
KR100446300B1 (ko) * 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20080070405A1 (en) * 2002-05-30 2008-03-20 Park Jae-Hwa Methods of forming metal wiring layers for semiconductor devices
KR100457057B1 (ko) * 2002-09-14 2004-11-10 삼성전자주식회사 금속막 형성 방법
AU2003266560A1 (en) * 2002-12-09 2004-06-30 Yoshihiro Hayashi Copper alloy for wiring, semiconductor device, method for forming wiring and method for manufacturing semiconductor device
US6794753B2 (en) * 2002-12-27 2004-09-21 Lexmark International, Inc. Diffusion barrier and method therefor
JP2004311545A (ja) * 2003-04-03 2004-11-04 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び高融点金属膜の堆積装置
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US20080119044A1 (en) * 2006-11-22 2008-05-22 Macronix International Co., Ltd. Systems and methods for back end of line processing of semiconductor circuits
KR100885186B1 (ko) * 2007-05-03 2009-02-23 삼성전자주식회사 확산 베리어 필름을 포함하는 반도체 소자의 형성 방법
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
KR100917823B1 (ko) * 2007-12-28 2009-09-18 주식회사 동부하이텍 반도체 소자의 금속 배선 형성 방법
US7897514B2 (en) * 2008-01-24 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor contact barrier
JP2012069891A (ja) * 2010-09-27 2012-04-05 Denso Corp 半導体装置の製造方法
US8497202B1 (en) * 2012-02-21 2013-07-30 International Business Machines Corporation Interconnect structures and methods of manufacturing of interconnect structures
US8722534B2 (en) * 2012-07-30 2014-05-13 Globalfoundries Inc. Method for reducing wettability of interconnect material at corner interface and device incorporating same
CN103963375B (zh) * 2013-01-30 2016-12-28 苏州同冠微电子有限公司 硅片背面金属化共晶结构及其制造工艺
US9252102B2 (en) 2014-06-06 2016-02-02 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
CN113314456B (zh) * 2020-02-27 2023-01-20 长鑫存储技术有限公司 导线层的制作方法
WO2021202229A1 (en) * 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
CN112259499A (zh) * 2020-10-20 2021-01-22 长江存储科技有限责任公司 半导体器件及其制作方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4829363A (en) * 1984-04-13 1989-05-09 Fairchild Camera And Instrument Corp. Structure for inhibiting dopant out-diffusion
JPS61183942A (ja) * 1985-02-08 1986-08-16 Fujitsu Ltd 半導体装置の製造方法
ATE43936T1 (de) * 1985-04-11 1989-06-15 Siemens Ag Integrierte halbleiterschaltung mit einer aus aluminium oder einer aluminiumlegierung bestehenden kontaktleiterbahnebene und einer als diffusionsbarriere wirkenden tantalsilizidzwischenschicht.
US4674176A (en) * 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
JPS62109341A (ja) * 1985-11-07 1987-05-20 Mitsubishi Electric Corp 半導体装置の製造方法
EP0238690B1 (en) * 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
JPS6399546A (ja) * 1986-10-16 1988-04-30 Hitachi Ltd 半導体装置の製造方法
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
US5110762A (en) * 1988-07-07 1992-05-05 Kabushiki Kaisha Toshiba Manufacturing a wiring formed inside a semiconductor device
JP2751223B2 (ja) * 1988-07-14 1998-05-18 セイコーエプソン株式会社 半導体装置およびその製造方法
JPH0251139A (ja) * 1988-08-12 1990-02-21 Minolta Camera Co Ltd フィルム投影装置
JPH02159065A (ja) * 1988-12-13 1990-06-19 Matsushita Electric Ind Co Ltd コンタクト電極の形成方法
JPH0727879B2 (ja) * 1989-03-14 1995-03-29 株式会社東芝 半導体装置の製造方法
JP2841439B2 (ja) * 1989-03-24 1998-12-24 富士通株式会社 半導体装置の製造方法
US4970176A (en) * 1989-09-29 1990-11-13 Motorola, Inc. Multiple step metallization process
DE4200809C2 (de) * 1991-03-20 1996-12-12 Samsung Electronics Co Ltd Verfahren zur Bildung einer metallischen Verdrahtungsschicht in einem Halbleiterbauelement
JP3064454B2 (ja) * 1991-03-27 2000-07-12 日本電気株式会社 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990026626A (ko) * 1997-09-25 1999-04-15 윤종용 반도체 공정의 금속배선 형성방법

Also Published As

Publication number Publication date
JPH077077A (ja) 1995-01-10
CN1039562C (zh) 1998-08-19
CN1090091A (zh) 1994-07-27
KR960010056B1 (ko) 1996-07-25
DE4342047A1 (de) 1994-06-16
US5552341A (en) 1996-09-03
US5939787A (en) 1999-08-17
DE4342047B4 (de) 2004-12-09

Similar Documents

Publication Publication Date Title
KR940016484A (ko) 반도체장치 및 그 제조방법
KR940016626A (ko) 반도체장치 및 그 제조방법
KR100347743B1 (ko) 무기 장벽 박막의 부착성 증대 방법
KR0140379B1 (ko) 도전 구조체를 반도체 소자내에 선택적으로 인캡슐레이션하기 위한 방법
EP0866498B1 (en) Method of manufacturing a semiconductor device having aluminum contacts or vias
KR940007985A (ko) 반도체장치의 배선층 형성방법
KR930003257A (ko) 반도체 장치 및 그의 제조방법
KR19980072437A (ko) 반도체 장치의 제조방법
KR960030339A (ko) 반도체장치 및 그 제조공정
KR970063577A (ko) 금속 배선 구조 및 형성방법
JP3113800B2 (ja) 半導体装置の配線形成方法
US5494860A (en) Two step annealing process for decreasing contact resistance
KR100331906B1 (ko) 반도체 장치의 제조 방법
KR960005801A (ko) 반도체 장치 제조방법
KR0158441B1 (ko) 반도체 소자 제조 방법
US5539247A (en) Selective metal via plug growth technology for deep sub-micrometer ULSI
US5528081A (en) High temperature refractory metal contact in silicon integrated circuits
JPH10209156A (ja) 半導体装置及びその形成方法
WO1993011558A1 (en) Method of modifying contact resistance in semiconductor devices and articles produced thereby
US6175155B1 (en) Selectively formed contact structure
US6239029B1 (en) Sacrificial germanium layer for formation of a contact
US7214608B2 (en) Interlevel dielectric layer and metal layer sealing
JP2694950B2 (ja) 高融点金属膜の形成方法
JPS6160580B2 (ko)
KR960010005B1 (ko) 반도체 디바이스 및 그 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090714

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee