KR910005381A - 시료처리방법 - Google Patents

시료처리방법 Download PDF

Info

Publication number
KR910005381A
KR910005381A KR1019900013207A KR900013207A KR910005381A KR 910005381 A KR910005381 A KR 910005381A KR 1019900013207 A KR1019900013207 A KR 1019900013207A KR 900013207 A KR900013207 A KR 900013207A KR 910005381 A KR910005381 A KR 910005381A
Authority
KR
South Korea
Prior art keywords
gas
plasma
sample processing
component
oxygen
Prior art date
Application number
KR1019900013207A
Other languages
English (en)
Other versions
KR0155380B1 (ko
Inventor
료우지 후꾸야마
마고또 나와다
히 유다까 가께
히로노브 가와하라
요시아끼 사또오
이 요시미 도리
야 아끼라 가와라
요시에 사또오
Original Assignee
미다 가쓰시게
가부시기가이샤 히다찌 세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP1218523A external-priority patent/JPH0793293B2/ja
Priority claimed from JP1284711A external-priority patent/JP2585442B2/ja
Priority claimed from JP11759690A external-priority patent/JPH0415919A/ja
Application filed by 미다 가쓰시게, 가부시기가이샤 히다찌 세이사꾸쇼 filed Critical 미다 가쓰시게
Publication of KR910005381A publication Critical patent/KR910005381A/ko
Application granted granted Critical
Publication of KR0155380B1 publication Critical patent/KR0155380B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12528Semiconductor component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

내용 없음

Description

시료처리방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명을 실시하기 위한 장치의 일레를 나타낸 평면도이다.

Claims (18)

  1. 할로겐 가스를 사용하여 Al함유 배선재료의 시료를 에칭하는 단계, 산소성분을 갖는 가스를 사용하여 레지스트를 제거하는 단계, 그리고 수소성분을 갖는 가스를 사용하여 부식방지 처리를 하는 단계를 포함하는 시료처리방법.
  2. 제1항에 있어서, 레지스트는 산소성분을 갖는 가스의 플라즈마를 사용하여 제거하는 시료처리방법.
  3. 제2항에 있어서, 산소성분을 갖는 가스가 산소(O2) 가스 또는 산소(O2)와 불소계 가스와의 혼합가스인 시료처리방법.
  4. 제1항에 있어서, 부식방지 처리를 수소성분을 갖는 가스의 플라즈마를 사용하여 수행하는 시료처리방법.
  5. 제4항에 있어서, 수소성분을 갖는 가스가 메탄올(CH3OH), 에탄올(C2H5OH), 아세톤(CH3COCH3), 수소(H2), 또는 메탄(CH4) 또는 이들 가스와 불활성 가스, H2O, O2또는 O3중 어느 하나로 구성된 혼합가스중 어느하나인 시료처리방법.
  6. 제1항에 있어서, 부식방지처리는 수소성분을 갖는 가스를 시료상에서 액적으로 액화하고, 액적이 다시 기화하도록 수행하는 시료처리방법.
  7. 제6항에 있어서, 수소성분을 갖는 가스가 순수(H2O)의 기화가스인 시료처리방법.
  8. 알루미늄-함유 배선재료의 시료를 할로겐 가스를 사용하여 에칭하는단계, 적어도 수소성분을 갖는 플라즈마를 사용하여 처리하는 단계, 그리고 산소성분을 갖는 플라즈마를 사용하여 처리하는 단계를 포함하는 시료처리방법.
  9. 제8항에 있어서, 레지스트를 산소성분을 갖는 플라즈마를 사용하여 제1단계에서 제거하고, 부식방지 처리는 수소성분을 갖는 플라즈마를 사용하여 제2단계에서 수행하는 시료처리방법.
  10. 제8항에 있어서, 부식방지처리는 수소성분을 갖는 플리즈마를 사용하여 제1단계에서 수행하고, 레지스트는 산소성분을 갖는 플라즈마를 사용하여 제2단계에서 제거하는 시료처리방법.
  11. 제8항, 제9항 및 제10항중 어느 한항에 있어서, 수소성분을 갖는 플라즈마는 메탄올(CH3OH), 에탄올(C2H5OH), 아세톤(CH3COCH3), 수소(H2) 또는 메탄(CH4)중 어느하나의 가스 플라즈마 또는 이들 가스와 불활성가스, N2O, O2또는 O3중 어느 하나와의 혼합가스 플라즈마인 시료처리방법.
  12. 제8항, 제9항 및 제10항중 어느 한항에 있어서, 산소성분을 갖는 플라즈마가 산소(O2)가스 플라즈마 또는 산소(O2)와 불소계 가스와의 혼합가스의 플라즈마인 시료처리방법.
  13. 알루미늄-함유 배선재료의 시료를 할로겐 가스를 사용하여 에칭하는 단계, 적어도 수소성분 및 산소성분 을 갖는 플라즈마를 사용하여 부식 방지 처리를 수행하는 단계를 포함하는 시료처리방법.
  14. 제13항에 있어서, 수소성분 및 산소성분을 갖는 플라즈마가 메탄올(CH3OH), 에탄올(C2H5OH), 아세톤(CH3COCH3), 수소(H2) 또는 메탄(CH4)중 어느 하나와 산소(O2), O3또는 N2O중 어느 하나와의 혼합가스 플라즈마인 시료처리방법.
  15. 제13항에 잇어서, 플라즈마를 사용하여 처리한후, 산소 및 불소계 가스와의 혼합가스 플라즈마를 사용하여 처리하는 단계를 추가하는 시료처리방법.
  16. 제2항, 제4항, 제8항 및 제13항중 어느 한 항에 있어서, 플라즈마를 마이크로파를 사용하여 발생시키는 시료처리방법.
  17. 제16항에 있어서, 플라즈마를 0.4 내지 2토로의 처리 알력하에 200W 내지 4KW의 마이크로파 전력을 사용하여 발생시키는 시료처리장치.
  18. 제8항 또는 제13항에 있어서, 알루미늄-함유 배선재료를 100 내지 300℃의 온도에서 처리하는 시료처리장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019900013207A 1989-08-28 1990-08-27 시료처리방법 KR0155380B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP89-218523 1989-08-28
JP1218523A JPH0793293B2 (ja) 1989-08-28 1989-08-28 後処理方法
JP89-284711 1989-11-02
JP1284711A JP2585442B2 (ja) 1989-11-02 1989-11-02 被処理物の連続処理方法
JP90-117596 1990-05-09
JP11759690A JPH0415919A (ja) 1990-05-09 1990-05-09 後処理方法

Publications (2)

Publication Number Publication Date
KR910005381A true KR910005381A (ko) 1991-03-30
KR0155380B1 KR0155380B1 (ko) 1998-12-01

Family

ID=27313413

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019900013207A KR0155380B1 (ko) 1989-08-28 1990-08-27 시료처리방법

Country Status (4)

Country Link
US (4) US5380397A (ko)
EP (2) EP0416774B1 (ko)
KR (1) KR0155380B1 (ko)
DE (1) DE69033663T2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100528685B1 (ko) * 1998-03-12 2005-11-15 가부시끼가이샤 히다치 세이사꾸쇼 시료의 표면 가공방법
KR100552644B1 (ko) * 1999-10-08 2006-02-20 가부시끼가이샤 히다치 세이사꾸쇼 시료의 처리방법과 처리장치 및 자기헤드의 제작방법

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69033663T2 (de) * 1989-08-28 2001-06-21 Hitachi Ltd Verfahren zur Behandlung eines Aluminium enthaltenden Musters
KR950010044B1 (ko) * 1990-06-27 1995-09-06 후지쓰 가부시끼가이샤 반도체 집적회로의 제조방법 및 그에 사용된 제조장치
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
USRE39756E1 (en) * 1990-08-29 2007-08-07 Hitachi, Ltd. Vacuum processing operating method with wafers, substrates and/or semiconductors
US7089680B1 (en) 1990-08-29 2006-08-15 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
USRE39823E1 (en) * 1990-08-29 2007-09-11 Hitachi, Ltd. Vacuum processing operating method with wafers, substrates and/or semiconductors
JP3412173B2 (ja) * 1991-10-21 2003-06-03 セイコーエプソン株式会社 半導体装置の製造方法
JP2674488B2 (ja) * 1993-12-01 1997-11-12 日本電気株式会社 ドライエッチング室のクリーニング方法
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
EP0692140A1 (en) * 1994-02-03 1996-01-17 Applied Materials, Inc. Stripping, passivation and corrosion inhibition of semiconductor substrates
US5599743A (en) * 1994-04-07 1997-02-04 Matsushita Electronics Corporation Method of manufacturing a semiconductor device
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3129144B2 (ja) * 1995-04-21 2001-01-29 日本電気株式会社 アッシング方法
US6060397A (en) * 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US5785875A (en) * 1996-02-13 1998-07-28 Micron Technology, Inc. Photoresist removal process using heated solvent vapor
US5700740A (en) * 1996-03-25 1997-12-23 Taiwan Semiconductor Manufacturing Company Ltd Prevention of corrosion of aluminum interconnects by removing corrosion-inducing species
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5776832A (en) * 1996-07-17 1998-07-07 Taiwan Semiconductor Manufacturing Company Ltd. Anti-corrosion etch process for etching metal interconnections extending over and within contact openings
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US6303045B1 (en) * 1997-03-20 2001-10-16 Lam Research Corporation Methods and apparatus for etching a nitride layer in a variable-gap plasma processing chamber
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6455232B1 (en) * 1998-04-14 2002-09-24 Applied Materials, Inc. Method of reducing stop layer loss in a photoresist stripping process using a fluorine scavenger
US6254717B1 (en) * 1998-04-23 2001-07-03 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6221752B1 (en) * 1998-08-20 2001-04-24 United Microelectronics Corp. Method of mending erosion of bonding pad
US6410417B1 (en) * 1998-11-05 2002-06-25 Promos Technologies, Inc. Method of forming tungsten interconnect and vias without tungsten loss during wet stripping of photoresist polymer
US6368517B1 (en) * 1999-02-17 2002-04-09 Applied Materials, Inc. Method for preventing corrosion of a dielectric material
US6627588B1 (en) 1999-03-11 2003-09-30 Georgia Tech Research Corporation Method of stripping photoresist using alcohols
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US20030015496A1 (en) * 1999-07-22 2003-01-23 Sujit Sharan Plasma etching process
US6559076B1 (en) 1999-08-19 2003-05-06 Micron Technology, Inc. Method of removing free halogen from a halogenated polymer insulating layer of a semiconductor device
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
US20020076917A1 (en) * 1999-12-20 2002-06-20 Edward P Barth Dual damascene interconnect structure using low stress flourosilicate insulator with copper conductors
US6852636B1 (en) 1999-12-27 2005-02-08 Lam Research Corporation Insitu post etch process to remove remaining photoresist and residual sidewall passivation
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
KR100373853B1 (ko) * 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6564811B2 (en) * 2001-03-26 2003-05-20 Intel Corporation Method of reducing residue deposition onto ash chamber base surfaces
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
WO2004027826A2 (en) * 2002-09-18 2004-04-01 Mattson Technology, Inc. System and method for removing material
US6920891B2 (en) * 2002-10-05 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Exhaust adaptor and method for chamber de-gassing
TWI232991B (en) * 2002-11-15 2005-05-21 Nec Lcd Technologies Ltd Method for manufacturing an LCD device
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP4111274B2 (ja) * 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
TWI281473B (en) * 2003-12-19 2007-05-21 Ind Tech Res Inst Biomarkers for liver diseases and method for using same
US20060063388A1 (en) * 2004-09-23 2006-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for using a water vapor treatment to reduce surface charge after metal etching
KR100688778B1 (ko) * 2004-12-27 2007-03-02 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
KR100688777B1 (ko) * 2004-12-27 2007-03-02 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
KR100608435B1 (ko) * 2004-12-30 2006-08-02 동부일렉트로닉스 주식회사 반도체 소자의 애싱 방법
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US20110079242A1 (en) * 2009-10-07 2011-04-07 Werner Reiss Plasma cleaning of wire strands
KR20130043063A (ko) * 2011-10-19 2013-04-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6349796B2 (ja) * 2014-03-11 2018-07-04 東京エレクトロン株式会社 プラズマ処理装置、薄膜トランジスターの製造方法及び記憶媒体

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS5841766B2 (ja) * 1978-12-28 1983-09-14 富士通株式会社 半導体装置の製造方法
US4325984B2 (en) * 1980-07-28 1998-03-03 Fairchild Camera & Inst Plasma passivation technique for the prevention of post-etch corrosion of plasma-etched aluminum films
US4351696A (en) * 1981-10-28 1982-09-28 Fairchild Camera & Instrument Corp. Corrosion inhibition of aluminum or aluminum alloy film utilizing bromine-containing plasma
JPS6077429A (ja) * 1983-10-04 1985-05-02 Asahi Glass Co Ltd ドライエツチング方法
KR910003169B1 (ko) * 1985-11-12 1991-05-20 가부시끼가이샤 한도다이 에네르기 겐뀨소 반도체 장치 제조 방법 및 장치
JPS62281331A (ja) * 1986-05-29 1987-12-07 Fujitsu Ltd エツチング方法
JPS6362233A (ja) * 1986-09-03 1988-03-18 Mitsubishi Electric Corp 反応性イオンエツチング装置
EP0305946B1 (en) * 1987-08-28 1996-10-30 Kabushiki Kaisha Toshiba Method for removing organic and/or inorganic films by dry plasma ashing
US4961820A (en) * 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
JP2890432B2 (ja) * 1989-01-10 1999-05-17 富士通株式会社 有機物の灰化方法
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
US4985113A (en) * 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
DE69033663T2 (de) * 1989-08-28 2001-06-21 Hitachi Ltd Verfahren zur Behandlung eines Aluminium enthaltenden Musters
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100528685B1 (ko) * 1998-03-12 2005-11-15 가부시끼가이샤 히다치 세이사꾸쇼 시료의 표면 가공방법
KR100552644B1 (ko) * 1999-10-08 2006-02-20 가부시끼가이샤 히다치 세이사꾸쇼 시료의 처리방법과 처리장치 및 자기헤드의 제작방법

Also Published As

Publication number Publication date
EP0809283A2 (en) 1997-11-26
EP0809283A3 (en) 1998-02-25
EP0416774A1 (en) 1991-03-13
US6329298B1 (en) 2001-12-11
EP0416774B1 (en) 2000-11-15
DE69033663T2 (de) 2001-06-21
US5556714A (en) 1996-09-17
KR0155380B1 (ko) 1998-12-01
US5380397A (en) 1995-01-10
DE69033663D1 (de) 2000-12-21
US5770100A (en) 1998-06-23

Similar Documents

Publication Publication Date Title
KR910005381A (ko) 시료처리방법
KR890004407A (ko) 레지스트 마스크 박리 방법
KR900014636A (ko) 시료처리 방법 및 장치
KR900001875A (ko) 금속에 의한 작은 관통구 충전 방법 및 그 방법을 실행시키기 위한 cvd장치
KR910001909A (ko) 제조중에 반도체 장치의 물질위의 유기막을 제거하기 위한 애싱(ashing)방법
TW356570B (en) Semiconductor device fabrication method and its treating liquid for the same
KR880010153A (ko) 화학진공증착(cvd)용 반응실내부의 불용탄소생성물의 제거방법
AU4506993A (en) A method of treating a semiconductor wafer
KR870002750A (ko) 실리콘의 플라즈마 에칭방법
WO2004027826A3 (en) System and method for removing material
SE8902391L (sv) Foerfarande jaemte anordning foer att behandla kiselplattor
KR970052763A (ko) 반도체 소자의 폴리머 제거 방법
KR920007101A (ko) 에칭 및 플라즈마 처리방법
EP0305946A3 (en) Method for removing organic and/or inorganic films by dry plasma ashing
JPS5731144A (en) Mamufacture of semiconductor device
Jie et al. Behaviour of coal pyrolysis desulfurization with microwave energy
KR920018865A (ko) 디지탈에칭방법
JPS5587438A (en) Manufacture of semiconductor device
May Random slip model of fatigue and Coffin's law
KR960019505A (ko) 플라즈마에 의한 SOG(Spin-On Glass) 경화(Curing) 방법
DE3778794D1 (de) Verfahren und vorrichtung zum ausbilden einer schicht durch plasmachemischen prozess.
KR950021200A (ko) 포토레지스트 제거 방법
JPS5655050A (en) Manufacture of semiconductor device
JPH0793293B2 (ja) 後処理方法
WO2003055794A3 (de) Verfahren und vorrichtung zur behandlung und/oder reformierung von gasförmigen brennstoffen sowie anwendung bei einem kraftwerk und zugehöriges kraftwerk

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120621

Year of fee payment: 15

EXPY Expiration of term