KR20170070267A - Pecvd 미정질 실리콘 게르마늄(sige) - Google Patents

Pecvd 미정질 실리콘 게르마늄(sige) Download PDF

Info

Publication number
KR20170070267A
KR20170070267A KR1020177016001A KR20177016001A KR20170070267A KR 20170070267 A KR20170070267 A KR 20170070267A KR 1020177016001 A KR1020177016001 A KR 1020177016001A KR 20177016001 A KR20177016001 A KR 20177016001A KR 20170070267 A KR20170070267 A KR 20170070267A
Authority
KR
South Korea
Prior art keywords
silicon germanium
germanium layer
forming
sccm
pecvd
Prior art date
Application number
KR1020177016001A
Other languages
English (en)
Other versions
KR102356526B1 (ko
Inventor
효인 치
파자드 딘 타지크
미카엘 안토니 로사
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170070267A publication Critical patent/KR20170070267A/ko
Application granted granted Critical
Publication of KR102356526B1 publication Critical patent/KR102356526B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Micromachines (AREA)

Abstract

본 발명의 실시예들은 일반적으로, SiGe 층을 형성하기 위한 방법들에 관한 것이다. 일 실시예에서, 먼저, 시드(seed) SiGe 층이 플라즈마 강화 화학 기상 증착(PECVD)을 이용하여 형성되고, 벌크(bulk) SiGe 층이, 또한 PECVD를 이용하여 PECVD 시드 층 바로 위에 형성된다. 시드 및 벌크 SiGe 층들 양자 모두를 위한 프로세싱 온도는 섭씨 450도 미만이다.

Description

PECVD 미정질 실리콘 게르마늄(SIGE){PECVD MICROCRYSTALLINE SILICON GERMANIUM(SIGE)}
[0001] 본 발명의 실시예들은 일반적으로, 실리콘 게르마늄(SiGe) 층을 형성하기 위한 방법에 관한 것이다.
[0002] 미세 전자 기계 시스템(micro-electromechanical system, MEMS)들은, 예컨대 가속도계들, 자이로스코프들, 적외선 검출기들, 마이크로 터빈들, 실리콘 클록들 등과 같은 매우 다양한 시스템들에서 이용된다. MEMS 및 상보형 금속-산화물 반도체(CMOS) 프로세싱의 모놀리식 집적(monolithic integration)은, 예컨대 검출기들 및 디스플레이들과 같은 특정 응용들에서 바람직한 솔루션인데, 왜냐하면 이러한 집적은 배선(interconnection) 문제들을 단순화하기 때문이다. 모놀리식 집적을 위한 하나의 용이한 접근법은 구동 전자 장치(driving electronics) 위에 MEMS를 포스트-프로세싱(post-processing)하는 것인데, 이는 구동 전자 장치를 준비하기 위해 이용되는 표준 제조 프로세스들은 변경되지 않기 때문이다. 하지만, 포스트 프로세싱은, 구동 전자 장치의 성능에 있어서의 임의의 손상 또는 열화를 피하기 위해, MEMS의 제조 온도에 대해 상한을 부과한다.
[0003] 표준 CMOS 구동 및 제어 전자 장치 위에 포스트-프로세싱될 수 있는 MEMS를 위한 구조적인 재료로서 SiGe가 제시되어 왔다. 마이크로구조 디바이스들에서 이용하기 위한 기능적인 SiGe 층은 2 마이크로미터 초과의 두께일 수 있으며, 섭씨 450도에서 SiGe의 다수의 층들을 증착함으로써 형성될 수 있다. 따라서, SiGe 층을 형성하기 위한 개선된 방법이 필요하다.
[0004] 본 발명의 실시예들은 일반적으로, SiGe 층을 형성하기 위한 방법들에 관한 것이다. 일 실시예에서, 먼저, 시드(seed) SiGe 층이 플라즈마 강화 화학 기상 증착(PECVD)을 이용하여 형성되고, 벌크(bulk) SiGe 층이, 또한 PECVD를 이용하여 PECVD 시드 층 바로 위에 형성된다. 시드 및 벌크 SiGe 층들 양자 모두를 위한 프로세싱 온도는 섭씨 450도 미만이다.
[0005] 일 실시예에서, 실리콘 게르마늄 층을 형성하기 위한 방법이 개시된다. 방법은, 플라즈마 강화 화학 기상 증착(PECVD)을 이용하여 기판 위에 시드 실리콘 게르마늄 층을 증착하는 단계를 포함하며, 프로세싱 동안 기판은 섭씨 450도 미만의 제 1 온도를 갖는다. 방법은, PECVD를 이용하여 시드 실리콘 게르마늄 층 바로 위에 벌크 실리콘 게르마늄 층을 증착하는 단계를 더 포함하며, 프로세싱 동안 기판은 섭씨 450도 미만의 제 2 온도를 갖는다.
[0006] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 본 발명의 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0007] 도 1은 본 발명의 일 실시예에 따른, 시드 SiGe 층 및 벌크 SiGe 층을 갖는 SiGe 층을 도시한다.
[0008] 도 2는 본 발명의 일 실시예에 따른, 시드 및 벌크 SiGe 층들을 형성하는 프로세스 단계들을 도시한다.
[0009] 도 3은 본 발명의 일 실시예에 따른, 도 2의 프로세스 단계들을 수행하기 위해 이용될 수 있는 PECVD 챔버를 도시한다.
[0010] 이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통적인 동일한 엘리먼트들을 지시하기 위해 동일한 참조 번호들이 사용되었다. 일 실시예에 개시된 엘리먼트들은 구체적인 설명없이도 다른 실시예들에서 유리하게 활용될 수 있음이 고려된다.
[0011] 본 발명의 실시예들은 일반적으로, SiGe 층을 형성하기 위한 방법들에 관한 것이다. 일 실시예에서, 먼저, 플라즈마 강화 화학 기상 증착(PECVD)을 이용하여 기판 표면 위에 시드 SiGe 층이 형성되고, 벌크 SiGe 층이, 또한 PECVD를 이용하여 PECVD 시드 층 바로 위에 형성된다. 시드 및 벌크 SiGe 층들 양자 모두를 위한 프로세싱 온도는 섭씨 450도 미만이다.
[0012] 도 1은 본 발명의 일 실시예에 따른, 시드 SiGe 층(102) 및 벌크 SiGe 층(104)을 갖는 SiGe 층(100)을 도시한다. SiGe 층(100)은 CMOS 구조 위에 형성될 수 있다. 시드 SiGe 층(102) 및 벌크 SiGe 층(104)을 형성하는 프로세스 단계들은 도 2에서 설명된다.
[0013] 도 2는 SiGe 층(100)을 형성하기 위한 프로세스 단계들(200)을 도시한다. 블록(202)에서, 시드 SiGe 층(102)이 PECVD를 이용하여 증착된다. 시드 SiGe 층(102)은 CMOS 구조 위에 증착될 수 있다. CMOS 구조는 상승된 온도를 견딜 수 없기 때문에, 시드 SiGe 층(102)과 벌크 SiGe 층(104) 양자 모두의 증착들은 둘 모두 섭씨 450도 미만의 온도, 예컨대 섭씨 420도에서 수행된다.
[0014] 일 실시예에서, 시드 SiGe 층(102)은, 도 3에 도시된 PECVD 챔버(300)와 같은 PECVD 챔버에서 증착된다. 블록(202)에서 수행되는 프로세스의 일 예에서, CMOS 구조를 갖는 기판이 섭씨 450도 미만의 온도, 예컨대 섭씨 420도에서 유지되는 동안, 13.56 MHz의 RF 주파수에서 약 300 W 내지 약 600 W 범위의 RF 전력을 이용하여 플라즈마가 형성된다. RF 전력은 필름 응력을 미세 튜닝하도록(fine tune) 조정될 수 있다. 프로세싱 영역 내의 프로세싱 압력은 약 3 Torr 내지 약 4.2 Torr로 유지된다. 플라즈마는, 실리콘 함유 가스, 게르마늄 함유 가스, 붕소 함유 가스 및 수소 가스를 포함하는 프로세싱 가스 혼합물을 포함한다. 일 실시예에서, 게르마늄 함유 가스 및 붕소 함유 가스는 가스 실린더에서 수소 가스와 사전-혼합된다(pre-mixed). 일 실시예에서, 실리콘 함유 가스는 실란(silane, SiH4)이고, 게르마늄 함유 가스는 게르만(germane, GeH4)이며, 붕소 함유 가스는 디보란(diborane, B2H6)이다. 일 실시예에서, SiH4 가스는 약 0.064 sccm/㎠ 내지 약 0.085 sccm/㎠의 유량을 가지며, GeH4 가스는 약 0.354 sccm/㎠ 내지 약 0.476 sccm/㎠의 유량을 가지며, 수소 가스는 약 5.941 sccm/㎠ 내지 약 7.779 sccm/㎠의 유량을 가지며, 그리고 B2H6 가스는 약 0.064 sccm/㎠ 내지 약 0.085 sccm/㎠의 유량을 갖는다. 유량들은 기판 또는 기판들의 각각의 제곱 센티미터의 표면적에 대한 것이며, 그에 따라 임의의 크기의 기판에 대한 총 유동(total flow)이 용이하게 결정된다. 증착 프로세스는 약 50초 내지 약 140초 지속될 수 있으며, 그에 의해, 약 0.1 마이크로미터 내지 약 0.25 마이크로미터의 두께를 갖는 시드 SiGe 층(102)을 형성할 수 있다.
[0015] 다음으로, 블록(204)에서, PECVD를 이용하여, 시드 SiGe 층(102) 바로 위에 벌크 SiGe 층(104)이 증착된다. 벌크 SiGe 층(104)은, 시드 SiGe 층(102)의 세정 또는 에칭이 요구되지 않거나 동일 PECVD 챔버에서 수행될 수 있는 경우, 시드 SiGe 층(102)을 증착하는 PECVD 챔버와 동일한 PECVD 챔버에서 증착될 수 있다. 블록(204)에서 수행되는 프로세스의 일 예에서, CMOS 구조 및 시드 SiGe 층을 갖는 기판이 섭씨 450 미만의 온도, 예컨대 섭씨 420도에서 유지되는 동안, 13.56 MHz의 RF 주파수에서 약 600 W 내지 약 800 W의 RF 전력을 이용하여 플라즈마가 형성된다. 프로세싱 영역 내의 프로세싱 압력은 약 3 Torr 내지 약 4.2 Torr로 유지된다. 플라즈마는, 실리콘 함유 가스, 게르마늄 함유 가스, 붕소 함유 가스 및 수소 가스를 포함하는 프로세싱 가스 혼합물을 포함한다. 일 실시예에서, 게르마늄 함유 가스 및 붕소 함유 가스는 가스 실린더에서 수소 가스와 사전-혼합된다. 일 실시예에서, 실리콘 함유 가스는 실란(SiH4)이고, 게르마늄 함유 가스는 게르만(GeH4)이며, 붕소 함유 가스는 디보란(B2H6)이다. 일 실시예에서, SiH4 가스는 약 0.141 sccm/㎠ 내지 약 0.282 sccm/㎠의 유량을 갖고, GeH4 가스는 약 1.160 sccm/㎠ 내지 1.414 sccm/㎠의 유량을 가지며, 수소 가스는 약 6.365 sccm/㎠ 내지 약 7.779 sccm/㎠의 유량을 가지며, 그리고 B2H6 가스는 약 0.113 sccm/㎠ 내지 약 0.212 sccm/㎠의 유량을 갖는다. 증착 프로세스는 약 400초 내지 약 1000초 지속될 수 있으며, 그에 의해, 약 2.5 마이크로미터로부터 10 마이크로미터 초과까지의 범위의 두께를 갖는 벌크 SiGe 층(104)을 형성할 수 있다. 일 실시예에서, 벌크 SiGe 층(104)은 약 10 마이크로미터 및 그 초과의 두께를 갖는다. 그러한 두꺼운 벌크 SiGe 층(104)은 PECVD를 이용하여 단일 증착 프로세스로 증착된다.
[0016] 도 3은 본 발명의 일 실시예에 따른, 도 2의 프로세스 단계들을 수행하는데 이용될 수 있는 PECVD 프로세스 챔버(300)이다. 프로세스 챔버(300)는, 프로세스 용적(process volume)(312)을 정의하는, 벽들(306), 바닥(308), 및 덮개(lid)(310)를 포함한다. 벽들(306) 및 바닥(308)은 전형적으로, 알루미늄의 단일 블록(unitary block)으로 제조된다. 벽들(306)은 그 내부에 도관들(미도시)을 가질 수 있으며, 벽들(306)의 온도를 제어하기 위해 유체가 그러한 도관들을 통과할 수 있다. 프로세스 챔버(300)는 또한 펌핑 링(314)을 포함할 수 있으며, 펌핑 링(314)은 프로세스 용적(312)을 배기 포트(316)뿐만 아니라 다른 펌핑 컴포넌트들(미도시)에 커플링시킨다.
[0017] 가열될 수 있는 기판 지지 조립체(338)가 프로세스 챔버(300) 내의 중앙에 배치될 수 있다. 기판 지지 조립체(338)는 증착 프로세스 동안 기판(303)을 지지한다. 기판 지지 조립체(338)는 일반적으로, 알루미늄, 세라믹, 또는 알루미늄과 세라믹의 조합으로 제조되며, 그리고 전형적으로, 진공 포트(미도시) 및 적어도 하나 또는 그 초과의 가열 엘리먼트들(332)을 포함한다.
[0018] 진공 포트는, 증착 프로세스 동안, 기판 지지 조립체(338)에 기판(303)을 고정하기 위해, 기판 지지 조립체(338)와 기판(303) 사이에 진공을 적용하기 위해 이용될 수 있다. 하나 또는 그 초과의 가열 엘리먼트들(332)은, 예컨대, 기판 지지 조립체(338) 및 그 기판 지지 조립체 상에 위치된 기판(303)을 미리결정된 온도로 가열하기 위해, 기판 지지 조립체(338) 내에 배치되며 전력 소스(330)에 커플링되는 전극들일 수 있다.
[0019] 일반적으로, 기판 지지 조립체(338)는 스템(stem)(342)에 커플링된다. 스템(342)은, 기판 지지 조립체(338)와 프로세스 챔버(300)의 다른 컴포넌트들 사이의 전기 리드들(electrical leads), 진공 및 가스 공급 라인들을 위한 도관을 제공한다. 부가적으로, 스템(342)은, 상승된 위치(도 3에 도시된 바와 같음)와 하강된 위치(미도시) 사이에서 기판 지지 조립체(338)를 이동시키는 리프트 시스템(344)에 기판 지지 조립체(338)를 커플링시킨다. 벨로우즈(346)는, 기판 지지 조립체(338)의 움직임을 용이하게 하면서, 프로세스 용적(312)과 챔버(300) 바깥쪽의 대기(atmosphere) 사이에 진공 시일(vacuum seal)을 제공한다.
[0020] 기판 지지 조립체(338)는 부가적으로, 외접하는(circumscribing) 섀도우 링(348)을 지지한다. 섀도우 링(348)은 형태가 환형이며, 전형적으로, 예컨대 알루미늄 질화물과 같은 세라믹 재료를 포함한다. 일반적으로, 섀도우 링(348)은 기판 지지 조립체(338) 및 기판(303)의 엣지에서의 증착을 방지한다.
[0021] 덮개(310)는 벽들(306)에 의해 지지되며, 프로세스 챔버(300)의 서비싱(servicing)을 허용하도록 제거가능할 수 있다. 덮개(310)는 일반적으로 알루미늄으로 구성될 수 있으며, 부가적으로, 덮개 내에 형성된 열 전달 유체 채널들(324)을 가질 수 있다. 열 전달 유체 채널들(324)은 유체 소스(미도시)에 커플링되며, 유체 소스는 덮개(310)를 통해 열 전달 유체를 유동시킨다. 열 전달 유체 채널들(324)을 통해 유동하는 유체는 덮개(310)의 온도를 조절한다.
[0022] 샤워헤드(318)는 일반적으로, 덮개(310)의 내부 측(320)에 커플링될 수 있다. 샤워헤드(318)와 덮개(310) 사이의 공간(322)에는 선택적으로, 천공된 차단기 플레이트(perforated blocker plate)(336)가 배치될 수 있다. 믹싱 블록(mixing block)을 통해 프로세스 챔버(300)에 들어가는 가스들(즉, 프로세스 가스 및 다른 가스들)은, 그러한 가스들이 샤워헤드(318) 뒤의 공간(322)을 채울 때, 차단기 플레이트(336)에 의해 먼저 확산된다. 가스들은 그 후, 샤워헤드(318)를 통해 프로세스 챔버(300) 내로 통과한다. 차단기 플레이트(336) 및 샤워헤드(318)는 프로세스 챔버(300)에 가스들의 균일한 유동을 제공하도록 구성된다. 균일한 가스 유동은 기판(303) 상에서의 균일한 층 형성을 촉진하는 데에 바람직하다. 시드 SiGe 층(102)의 증착 프로세스 동안, 기판(303)과 샤워헤드(318) 사이의 거리는 약 320 mm 내지 약 370 mm이다. 벌크 SiGe 층(104)의 증착 프로세스 동안, 기판(303)과 샤워헤드(318) 사이의 거리는 약 530 mm 내지 약 580 mm이다.
[0023] 샤워헤드(318) 내의 가스 통로들을 통해, 샤워헤드(318)와 기판(303) 사이의 프로세싱 구역에 가스를 제공하기 위해, 가스 소스(360)가 덮개(310)에 커플링된다. 프로세스 용적을 요구되는 압력으로 제어하기 위해, 진공 펌프(미도시)가 프로세스 챔버(300)에 커플링될 수 있다. 샤워헤드(318)에 RF 전류를 제공하기 위해, 정합 네트워크(match network)(390)를 통하여 덮개(310) 및/또는 샤워헤드(318)에 RF 소스(370)가 커플링된다. RF 전류는 샤워헤드(318)와 기판 지지 조립체(338) 사이에 전기장을 생성하며, 그에 따라, 샤워헤드(318)와 기판 지지 조립체(338) 사이의 가스들로부터 플라즈마가 생성될 수 있다. RF 전력은 SiGe 층(100)의 응력을 미세 튜닝하도록 조정될 수 있다.
[0024] 요약하면, SiGe 층을 형성하기 위한 방법이 개시된다. 방법은, 시드 SiGe 층을 형성하고 이러한 시드 SiGe 층 바로 위에 벌크 SiGe 층을 형성하는 단계를 포함하며, 이러한 층들 모두는 PECVD를 이용하여 형성된다. 시드 SiGe 층은 CMOS 구조 위에 형성될 수 있으며, 그리고 CMOS 구조를 손상시키는 것을 막기 위해, 시드 및 벌크 층들이 위에 증착되는 기판은, 시드 및 벌크 층들 모두의 증착 동안, 섭씨 450도 미만, 예컨대 섭씨 420도의 온도를 갖는다. 벌크 SiGe 층은 10 마이크로미터 초과일 수 있으며, PECVD를 이용하여 단일 증착으로 형성될 수 있다.
[0025] 전술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 기본 범위로부터 벗어나지 않으면서 본 발명의 다른 그리고 추가의 실시예들이 안출될 수 있으며, 본 발명의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 실리콘 게르마늄 층을 형성하기 위한 방법으로서,
    플라즈마 강화 화학 기상 증착(PECVD)을 이용하여 기판 위에 시드(seed) 실리콘 게르마늄 층을 증착하는 단계 ― 상기 시드 실리콘 게르마늄 층을 증착하기 위한 PECVD는 약 300 W 내지 약 600 W의 RF 전력을 가짐 ―; 및
    PECVD를 이용하여 상기 시드 실리콘 게르마늄 층 바로 위에 벌크(bulk) 실리콘 게르마늄 층을 증착하는 단계 ― 상기 벌크 실리콘 게르마늄 층을 증착하기 위한 PECVD는 약 600 W 내지 약 800 W의 RF 전력을 가짐 ― 를 포함하는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 기판은 상보형 금속-산화물 반도체(CMOS) 구조를 포함하고, 상기 시드 실리콘 게르마늄 층은 상기 CMOS 구조 위에 증착되는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 시드 실리콘 게르마늄 층을 증착하기 위한 PECVD는 약 3 Torr 내지 약 4.2 Torr의 프로세스 압력을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 벌크 실리콘 게르마늄 층을 증착하기 위한 PECVD는 약 3 Torr 내지 약 4.2 Torr의 프로세스 압력을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 시드 실리콘 게르마늄 층을 증착하는 동안 가스 혼합물을 유동시키는 단계를 더 포함하며, 상기 가스 혼합물은 실리콘 함유 가스, 게르마늄 함유 가스, 붕소 함유 가스 및 수소 가스를 포함하는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  6. 제 5 항에 있어서,
    상기 붕소 함유 가스는 디보란(diborane)인,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  7. 제 5 항에 있어서,
    상기 실리콘 함유 가스는 약 0.064 sccm/㎠ 내지 0.085 sccm/㎠의 유량을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  8. 제 5 항에 있어서,
    상기 게르마늄 함유 가스는 약 0.354 sccm/㎠ 내지 약 0.476 sccm/㎠의 유량을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  9. 제 5 항에 있어서,
    상기 붕소 함유 가스는 약 0.064 sccm/㎠ 내지 약 0.085 sccm/㎠의 유량을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  10. 제 5 항에 있어서,
    상기 수소 가스는 약 5.941 sccm/㎠ 내지 약 7.779 sccm/㎠의 유량을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  11. 실리콘 게르마늄 층을 형성하기 위한 방법으로서,
    플라즈마 강화 화학 기상 증착(PECVD)을 이용하여 기판 위에 시드 실리콘 게르마늄 층을 증착하는 단계 ― 상기 시드 실리콘 게르마늄 층을 증착하기 위한 PECVD는 약 300 W 내지 약 600 W의 RF 전력을 가짐 ―; 및
    PECVD를 이용하여 상기 시드 실리콘 게르마늄 층 바로 위에 벌크 실리콘 게르마늄 층을 증착하는 단계를 포함하며,
    상기 벌크 실리콘 게르마늄 층을 증착하는 동안 가스 혼합물이 도입되고 그리고 상기 가스 혼합물은 실리콘 함유 가스, 게르마늄 함유 가스, 붕소 함유 가스 및 수소 가스를 포함하고 상기 벌크 실리콘 게르마늄 층을 증착하기 위한 PECVD는 약 600 W 내지 약 800 W의 RF 전력을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 실리콘 함유 가스는 약 0.141 sccm/㎠ 내지 약 0.282 sccm/㎠의 유량을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  13. 제 11 항에 있어서,
    상기 게르마늄 함유 가스는 약 1.160 sccm/㎠ 내지 약 1.414 sccm/㎠의 유량을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  14. 제 11 항에 있어서,
    상기 붕소 함유 가스는 약 0.113 sccm/㎠ 내지 약 0.212 sccm/㎠의 유량을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
  15. 제 11 항에 있어서,
    상기 수소 가스는 약 6.365 sccm/㎠ 내지 약 7.779 sccm/㎠의 유량을 갖는,
    실리콘 게르마늄 층을 형성하기 위한 방법.
KR1020177016001A 2013-09-06 2014-08-15 Pecvd 미정질 실리콘 게르마늄(sige) KR102356526B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361874831P 2013-09-06 2013-09-06
US61/874,831 2013-09-06
US14/459,357 US9484199B2 (en) 2013-09-06 2014-08-14 PECVD microcrystalline silicon germanium (SiGe)
US14/459,357 2014-08-14
PCT/US2014/051301 WO2015034653A1 (en) 2013-09-06 2014-08-15 Pecvd microcrystalline silicon germanium (sige)
KR1020167008890A KR101841206B1 (ko) 2013-09-06 2014-08-15 Pecvd 미정질 실리콘 게르마늄(sige)

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020167008890A Division KR101841206B1 (ko) 2013-09-06 2014-08-15 Pecvd 미정질 실리콘 게르마늄(sige)

Publications (2)

Publication Number Publication Date
KR20170070267A true KR20170070267A (ko) 2017-06-21
KR102356526B1 KR102356526B1 (ko) 2022-01-26

Family

ID=52626010

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167008890A KR101841206B1 (ko) 2013-09-06 2014-08-15 Pecvd 미정질 실리콘 게르마늄(sige)
KR1020177016001A KR102356526B1 (ko) 2013-09-06 2014-08-15 Pecvd 미정질 실리콘 게르마늄(sige)

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020167008890A KR101841206B1 (ko) 2013-09-06 2014-08-15 Pecvd 미정질 실리콘 게르마늄(sige)

Country Status (7)

Country Link
US (1) US9484199B2 (ko)
JP (2) JP6915988B2 (ko)
KR (2) KR101841206B1 (ko)
CN (2) CN108893726B (ko)
SG (2) SG10201806569PA (ko)
TW (2) TWI631231B (ko)
WO (1) WO2015034653A1 (ko)

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9437427B1 (en) 2015-12-30 2016-09-06 International Business Machines Corporation Controlled confined lateral III-V epitaxy
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6624998B2 (ja) * 2016-03-30 2019-12-25 東京エレクトロン株式会社 ボロンドープシリコンゲルマニウム膜の形成方法および形成装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106783542A (zh) * 2016-12-23 2017-05-31 苏州工业园区纳米产业技术研究院有限公司 Lpcvd法沉积硅锗膜的方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) * 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102322594B1 (ko) 2019-09-16 2021-11-05 김다솜 정부와 민간단체 부동산 빅데이터 정보의 인공지능 실시간 수집과 적정거래가격 분석제시 입찰 시스템 및 운용방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037598A1 (en) * 2003-04-29 2005-02-17 Ann Witvrouw Method for producing polycrystalline silicon germanium and suitable for micromachining
JP2011016219A (ja) * 2009-06-18 2011-01-27 Imec 低コンタクト抵抗を有するmemsデバイスの製造方法およびそれにより得られたデバイス
JP2012169602A (ja) * 2011-01-25 2012-09-06 Semiconductor Energy Lab Co Ltd 微結晶半導体膜及びその作製方法、並びに半導体装置の作製方法
US20130020548A1 (en) * 2011-07-22 2013-01-24 Crossbar, Inc. Seed layer for a p+ silicon germanium material for a non-volatile memory device and method
JP2013513238A (ja) * 2009-12-03 2013-04-18 アプライド マテリアルズ インコーポレイテッド 高移動度のモノリシックpinダイオード

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2312439A (en) 1996-04-24 1997-10-29 Northern Telecom Ltd Plasma enhanced chemical vapour deposition of a layer
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
EP1173893A4 (en) * 1999-01-15 2007-08-01 Univ California POLYCRYSTALLINE SILICON GERMANIUM FILMS FOR THE MANUFACTURE OF MICROELECTROCHEMICAL SYSTEMS
US20060024442A1 (en) * 2003-05-19 2006-02-02 Ovshinsky Stanford R Deposition methods for the formation of polycrystalline materials on mobile substrates
FR2928939B1 (fr) * 2008-03-20 2010-04-30 Ecole Polytech Procede de production de nanostructures sur un substrat d'oxyde metallique, procede de depot de couches minces sur un tel substrat, et un dispositf forme de couches minces
CN101866834B (zh) * 2009-12-11 2011-09-14 清华大学 高Ge组分SiGe材料的方法
EP2347993B1 (en) 2010-01-22 2018-11-14 IMEC vzw Method for manufacturing a micromachined device and micromachined device made thereof
US8410486B2 (en) * 2010-05-14 2013-04-02 Semiconductor Energy Labortory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and method for manufacturing semiconductor device
US8916425B2 (en) * 2010-07-26 2014-12-23 Semiconductor Energy Laboratory Co., Ltd. Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
TWI538218B (zh) * 2010-09-14 2016-06-11 半導體能源研究所股份有限公司 薄膜電晶體
US8450158B2 (en) 2010-11-04 2013-05-28 Semiconductor Energy Laboratory Co., Ltd. Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
JP2012238713A (ja) * 2011-05-11 2012-12-06 Kaneka Corp シリコン系薄膜の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037598A1 (en) * 2003-04-29 2005-02-17 Ann Witvrouw Method for producing polycrystalline silicon germanium and suitable for micromachining
JP2011016219A (ja) * 2009-06-18 2011-01-27 Imec 低コンタクト抵抗を有するmemsデバイスの製造方法およびそれにより得られたデバイス
JP2013513238A (ja) * 2009-12-03 2013-04-18 アプライド マテリアルズ インコーポレイテッド 高移動度のモノリシックpinダイオード
JP2012169602A (ja) * 2011-01-25 2012-09-06 Semiconductor Energy Lab Co Ltd 微結晶半導体膜及びその作製方法、並びに半導体装置の作製方法
US20130020548A1 (en) * 2011-07-22 2013-01-24 Crossbar, Inc. Seed layer for a p+ silicon germanium material for a non-volatile memory device and method

Also Published As

Publication number Publication date
TWI631231B (zh) 2018-08-01
WO2015034653A1 (en) 2015-03-12
US20150072509A1 (en) 2015-03-12
US9484199B2 (en) 2016-11-01
CN105492657A (zh) 2016-04-13
JP2020107894A (ja) 2020-07-09
CN108893726A (zh) 2018-11-27
KR20160054528A (ko) 2016-05-16
TW201742948A (zh) 2017-12-16
JP2016536801A (ja) 2016-11-24
CN108893726B (zh) 2020-09-22
SG10201806569PA (en) 2018-08-30
KR102356526B1 (ko) 2022-01-26
SG11201600495YA (en) 2016-03-30
CN105492657B (zh) 2018-07-10
JP6915988B2 (ja) 2021-08-11
JP7222942B2 (ja) 2023-02-15
TW201514334A (zh) 2015-04-16
KR101841206B1 (ko) 2018-03-22
TWI648422B (zh) 2019-01-21

Similar Documents

Publication Publication Date Title
KR101841206B1 (ko) Pecvd 미정질 실리콘 게르마늄(sige)
KR102233755B1 (ko) SiC막의 성막 방법
US10480074B2 (en) Apparatus for radical-based deposition of dielectric films
US8287956B2 (en) Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
CN105900214B (zh) 通过使用远程等离子体pecvd的fcvd硬件形成的可流动碳膜
JP4978355B2 (ja) 成膜装置及びそのコーティング方法
TW201708597A (zh) 使用碳基膜之間隙填充
JP2019537837A5 (ko)
WO2015094664A1 (en) Deposition of dielectric films
US20200290095A1 (en) Method of forming process film
WO2021257773A1 (en) High temperature chemical vapor deposition lid
KR20160011150A (ko) 라디칼 경화에 의해 보조되는 다공성 탄소-도핑된 산화물 막들의 플라즈마-강화 및 라디칼-기반 cvd
JP6782546B2 (ja) プラズマ化学気相蒸着装置および方法
JP2009135157A (ja) 気相成長装置及び気相成長方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant