KR20170044026A - 유기막들의 기상 퇴적 - Google Patents

유기막들의 기상 퇴적 Download PDF

Info

Publication number
KR20170044026A
KR20170044026A KR1020160129197A KR20160129197A KR20170044026A KR 20170044026 A KR20170044026 A KR 20170044026A KR 1020160129197 A KR1020160129197 A KR 1020160129197A KR 20160129197 A KR20160129197 A KR 20160129197A KR 20170044026 A KR20170044026 A KR 20170044026A
Authority
KR
South Korea
Prior art keywords
reactant
substrate
temperature
vapor
reactant vapor
Prior art date
Application number
KR1020160129197A
Other languages
English (en)
Other versions
KR102546221B1 (ko
Inventor
빌랴미 제이. 포레
마르코 투오미넨
한누 후오타리
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/879,962 external-priority patent/US10695794B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20170044026A publication Critical patent/KR20170044026A/ko
Priority to KR1020230077477A priority Critical patent/KR102664066B1/ko
Application granted granted Critical
Publication of KR102546221B1 publication Critical patent/KR102546221B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • H01L21/203
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/164Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using vacuum deposition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2505/00Polyamides
    • B05D2505/50Polyimides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • B05D3/145After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

유기막의 기체 퇴적을 위한 방법들 및 장치들은, 제1 온도에서 유기 반응물을 기체화하고, 기판을 하우징하는 반응 챔버로 상기 기체를 이송하며, 기체화 온도보다 낮은 온도에서 상기 기판을 유지하도록 구성된다. 순차적 퇴적 시퀀스에서 상기 기판을 상기 유기 반응물 및 제2 반응물과 교대로 접촉시키는 것은, 다른 방법으로는 달성되기 어려운 방식으로 보이드들 및 트렌치들을 유기막으로 바닥부터-위로 채우는(bottom-up filling) 것을 유발할 수 있다. 유기막들을 퇴적하는 데 도움이 되는 퇴적 반응기들이 제공된다.

Description

유기막들의 기상 퇴적{Vapor phase deposition of organic films}
[1] 본 발명은 증기 퇴적에 의한 유기 박막들의 형성과 관련된 것이다.
[2] 본 출원은 2015년 10월 9일 출원된 미국 특허출원 제14/879,962호의 부분 연속 출원이다.
[3] 유기 박막들은 우수한 광학적, 열적, 전기적 및 기계적 특성들을 가지며 전자소자들, 의료 기계들, 방위, 제약 및 마이크로 및 나노 기술 산업들에서 널리 사용된다. 마이크로 전자소자들 및 광학 산업들은 다른 예시들 중에서 리소그래피 패터닝을 위한 포톤- 또는 전자-경화 가능하고/분해 가능한 폴리머들; 및 패키징, 층간 유전층들 및 유연성 회로 기판들을 위한 폴리이미드들을 포함한다. Norrman et al. Annu. Rep. Prog. Chem., Sect. C, 2005, 101, 174-201.
[4] 특히 폴리이미드 막들은 이들의 열적 안정성 및 기계적 스트레스 및 화학제품들에 대한 저항성에 있어서 가치가 있다. 폴리이미드 박막들은 미래의 V-NAND 구조물들을 위하여 요구되는 비정질 카본 막들 또는 층들을 위한 반도체 어플리케이션들에서 시작점으로서 사용될 수 있다. 폴리이미드 막들은 예를 들어 리소그래피 단계들 내에서 패턴 해상도(definition)를 향상시키고 오정렬을 감소시키도록 반사 방지 층들로서, 다중 패터닝(예를 들어, SDDP, SDQP) 내에서의 층들로서, 층간 유전 물질들을 위한 절연 물질들로서, 또는 전-유기(all-organic) 박막 트랜지스터들 내의 게이트 유전체로서, 사용될 수 있다.
[5] 폴리머 박막들은 스핀-코팅 기술들을 통해 전통적으로 제조되어 왔다. 스핀-코팅 방법은 회전하는 디스크를 액체 물질로 코팅하고, 상기 액체를 소결(sintering)함에 의해 고기능성 폴리머 막들을 형성한다. 그러나, 스핀-적용된 막들의 재단(tailoring)은 여러 가지 이유들로 인해 제한된다. 예를 들어, 기판 상의 균일한 박막들의 형성이 부분적으로는 시작 액체의 점도(viscosity)에 의해 조절하기 어렵고, 큐어링 이후의 보이드 생성이 없이 매우 작은 피쳐들의 갭들(예를 들어 트렌치들 또는 금속 라인들 사이의 갭들)을 채우기 어려울 수 있다. 또한, 상기 층의 요구되는 두께에 대한 높은 지형(topography) 상에서의 스핀-코팅은 불연속적이고 비콘포말(non-conformal)한 퇴적을 유발할 수 있다. 반도체 칩 사이즈들이 계속 축소됨에 따라, 더욱 조정 가능한(tunable) 모폴로지를 갖는 더 얇고 높은 강도의 막들이 요구된다.
[6] 최근에 화학 기상 퇴적(chemical vapor deposition, CVD), 기상 퇴적 폴리머화(vapor deposition polymerization, VDP), 분자층 퇴적(molecular layer deposition, MLD)와 같은 기상 퇴적 공정들, 원자층 퇴적(atomic layer deposition, ALD) 및 사이클 CVD와 같은 순차적 퇴적 공정들이 폴리머 박막들의 형성에 적용되어 왔다. CVD에서, 반응물들이 기판 표면 상에서 반응할 때 막이 퇴적된다. 하나 또는 그 이상의 반응물들의 가스들은 반응 챔버 내에서 하나 또는 그 이상의 기판들에 전달된다. 열적 CVD(thermal CVD)에서, 반응성 가스들은 박막들을 형성하도록 뜨거운 기판 상에서 서로 반응하며, 성장 속도는 온도 및 공급되는 반응물 양에 의해 영향 받는다. 플라즈마 강화(plasma enhanced) CVD에서, 하나 또는 그 이상의 반응물들이 리모트 플라즈마 생성기 내에서 또는 인 시츄로(in situ) 활성화될 수 있다. ALD에서, 막은 사이클들 내에서 수행되는 자기-포화적(self-saturating) 표면 반응들을 통해 성장된다. 상기 웨이퍼 상에 물질의 박막을 형성하도록 기상 반응물들은 상기 기판 또는 웨이퍼에 교대로 및 반복적으로 공급된다. 일반적인 공정에서, 하나의 반응물이 상기 웨이퍼 상에 자기-제한적 공정 내에서 흡착한다. 상기 요구되는 물질의 단일 물질층보다 많지 않게 형성하도록 다른, 후속적으로 펄스된 반응물이 상기 제1 반응물의 흡착된 종들과 반응한다. 더욱 두꺼운 막들은 상기 타겟 두께가 얻어질 때까지 반복되는 성장 사이클들을 통해 생성된다. ALD의 플라즈마 강화 변형들 및 복합 ALD/CVD 공정들(예를 들어 허용되는 반응물들의 일부 오버랩들을 갖는)이 또한 알려져 있다.
본 발명의 목적은 전술한 문제들을 극복하기 위한 것이다.
[7] 일 태양에 있어서, 기상 퇴적에 의해 유기막을 퇴적하기 위한 방법이 제공된다. 상기 방법은 제1 반응물 증기를 형성하도록 온도 A에서 증발기(vaporizer) 내에서 제1 유기 반응물을 증발시키는 단계를 포함한다. 반응 공간 내에서 기판은 온도 B에서 상기 제1 반응물 증기에 노출되고, 이는 상기 제1 유기 반응물이 증발된 상기 온도 A보다 더 낮다. 유기막이 상기 기판 상에 퇴적된다.
[8] 일부 실시예들에서, 상기 유기막은 폴리머를 포함한다. 일부 실시예들에서, 상기 폴리머는 폴리이미드(polyimide)를 포함한다. 일부 실시예들에서, 상기 유기막은 폴리아믹산(polyamic acid)을 포함한다. 일부 실시예들에서, 상기 폴리아믹산은 폴리이미드로 더욱 변환된다. 일부 실시예들에서, 상기 제1 유기 반응물은 실온 및 대기압에서 고체이다. 일부 실시예들에서, 상기 제1 유기 반응물은 디안하이드라이드(dianhydride)이고, 더욱 구체적으로 일부 실시예들에서 PMDA이다.
[9] 온도 B에 대한 온도 A의 비율은 캘빈 단위로 1보다 더 크다. 일부 실시예들에서, 온도 B에 대한 온도 A의 비율은 캘빈 단위로 약 1.8보다 더 작거나, 약 1 내지 약 1.25, 약 1.01 내지 약 1.10, 및/또는 다른 전술한 값들 중 임의의 값들 사이일 수 있다.
[10] 일부 실시예들에서, 상기 온도 A는 120℃보다 더 크거나, 200℃보다 작거나, 약 120℃ 내지 250℃, 약 140℃ 내지 190℃, 및/또는 다른 전술한 값들 중 임의의 값들 사이일 수 있다.
[11] 일부 실시예들에서, 상기 온도 B는 상기 온도 A보다 약 5℃ 내지 약 50℃ 더 낮거나, 상기 온도 A보다 약 10℃ 내지 약 30℃ 더 낮거나, 및/또는 상기 온도 A보다 다른 전술한 값들 중 임의의 값들 사이만큼 더 낮을 수 있다.
[12] 일부 실시예들에서, 상기 온도 B는 20℃보다 더 크거나, 250℃보다 작거나, 약 20℃ 내지 250℃, 약 100℃ 내지 200℃, 약 120℃ 내지 180℃, 및/또는 다른 전술한 값들 중 임의의 값들 사이일 수 있다.
[13] 일부 실시예들에서, 상기 방법은 여분의 상기 제1 반응물 증기를 상기 기판과의 접촉으로부터 제거하는 단계를 더 포함한다. 상기 제1 반응물 증기와 상기 제2 반응물이 실질적으로 혼합되지 않도록 상기 기판은 이후 제2 반응물에 노출되고, 여분의 상기 제2 반응물이 상기 기판과의 접촉으로부터 제거된다. 일부 실시예들에서, 상기 제1 반응물 증기와 상기 제2 반응물이 실질적으로 혼합되지 않도록 상기 기판을 상기 제1 반응물 증기에 노출하는 단계 및 상기 기판을 상기 제2 반응물에 노출하는 단계는 복수 사이클들로 반복된다. 일부 실시예들에서, 상기 제2 반응물은 다이아민(diamine)이고, 더욱 구체적으로는 일부 실시예들에서 1,6-다이아미노헥세인(1,6-diaminohexane, DAH)이다. 일부 실시예들에서, 여분의 상기 제1 반응물 증기를 제거하는 단계 및 여분의 상기 제2 반응물 증기를 제거하는 단계 각각은 1초보다 크거나, 10초보다 작거나, 약 1초 내지 약 10초, 및/또는 다른 전술한 값들 중 임의의 값들 사이의 기간에 걸쳐 일어난다.
[14] 일부 실시예들에서, 상기 제1 반응물 증기는 상기 기판에 노출될 때, 상기 증발기로부터 가스 라인을 통해 상기 반응 공간으로 이송된다. 일부 실시예들에서, 상기 가스 라인은 상기 제1 유기 반응물이 증발된 상기 온도 A보다 더 높은 온도 C에 있다.
[15] 일부 실시예들에서, 상기 기판은 비-평면 지형(non-planar topography)을 포함하고, 상기 퇴적된 유기막은 상기 기판의 하부 피쳐 상에 제1 두께를 형성하는 단계 및 상기 기판의 상부 필드 영역 상에 제2 두께를 퇴적하는 단계를 포함하고, 상기 제1 두께는 상기 제2 두께보다 더 크다.
[16] 다른 태양에 있어서, 퇴적된 유기막의 평면성(planarity)을 조절하기 위한 방법이 제공된다. 상기 방법은 제1 반응물 증기를 형성하도록 온도 A에서 증발기 내에서 제1 유기 반응물을 증발시키는 단계; 상기 온도 A보다 낮은 온도 B에서 반응 공간 내에서 기판을 상기 제1 반응물 증기에 노출하는 단계; 및 여분의 상기 제1 반응물 증기를 상기 기판과의 접촉으로부터 일 기간에 걸쳐 제거하는 단계를 포함하고, 여기서 상기 일 기간을 감소시키는 것이 상기 퇴적된 유기막의 평면성을 증가시킨다. 일부 실시예들에서, 상기 퇴적된 유기막은 약 20%보다 작거나, 약 10%보다 작거나, 약 5%보다 작거나, 약 2%보다 작거나, 약 1%보다 작거나, 및 약 0.5%보다 작은 두께 비-균일성(1 시그마)을 갖는다. 일부 실시예들에서, 상기 기판은 200 mm 또는 300 mm 실리콘 웨이퍼와 같은 반도체 웨이퍼, 또는 유리 기판이다.
[17] 일부 실시예들에서, 상기 방법은 상기 제1 반응물 증기와 상기 제2 반응물이 실질적으로 혼합되지 않도록 상기 기판을 제2 반응물에 노출하는 단계; 여분의 상기 제2 반응물을 상기 기판과의 접촉으로부터 제거하는 단계; 및 상기 제1 반응물 증기 및 상기 제2 반응물이 실질적으로 혼합되지 않도록 상기 기판을 상기 제1 반응물 증기에 노출하는 단계 및 상기 기판을 상기 제2 반응물에 노출하는 단계를 복수의 사이클들로 반복하는 단계를 더 포함한다.
[18] 다른 태양에 있어서, 유기막 퇴적을 위한 장치는 제1 반응물 증기를 형성하도록 제1 유기 반응물을 증발시키기 위하여 구성된 베셀(vessel), 기판을 수용하고 상기 베셀과 선택적으로 유체 연통되도록(in fluid communication with) 구성되는 반응 공간, 및 조절 시스템을 포함한다. 바람직한 실시예에서, 상기 조절 시스템은 온도 A 이상에서 상기 베셀 내에 상기 반응물을 유지하고, 상기 온도 A보다 낮은 온도 B에서 상기 기판을 유지하고, 상기 베셀로부터 상기 기판에 상기 반응물 증기를 이송하고, 및 상기 기판 상에 유기막을 퇴적하도록 구성된다.
[19] 일부 실시예들에서, 상기 장치는 폴리머를 퇴적하도록 구성된다. 일부 실시예들에서, 상기 폴리머는 폴리이미드를 포함한다. 일부 실시예들에서, 상기 장치는 폴리아믹산을 퇴적하도록 구성된다. 일부 실시예들에서, 상기 폴리아믹산은 폴리이미드로 변환될 수 있다.
[20] 일부 실시예들에서, 상기 장치는 상기 반응 공간에 상기 베셀을 유체 연결하는 가스 라인을 더 포함하며, 상기 조절 시스템은 상기 온도 A보다 더 높은 온도 C에서 상기 가스 라인을 유지하도록 더 구성된다.
[21] 일부 실시예들에서, 상기 조절 시스템은 순차적 퇴적 공정에서 상기 제1 반응물 증기와 교대로 상기 기판에 제2 반응물 증기를 이송하도록 더 구성된다.
[22] 일부 실시예들에서, 상기 장치는 상기 반응 공간에 연결되는 아웃렛 라인(outlet line) 및 비활성 가스 소스를 더 포함하고, 상기 조절 시스템은 상기 제1 반응물 증기 및 상기 제2 반응물 증기의 공급 사이에 여분의 반응물 증기들 및 부산물을 제거하도록 더 구성된다.
[23] 다른 태양에 있어서, 기판 상에 3-차원 구조물들의 종횡비를 감소시키는 방법이 제공된다. 상기 방법은 제1 반응물 증기를 형성하도록 제1 반응물을 증발시키는 단계를 포함한다. 상기 기판은 반응 공간 내에서 상기 제1 반응물 증기에 노출되고, 상기 기판은 3차원 구조물을 갖는 지형을 포함한다. 유기막이 퇴적됨에 따라 상기 기판 상에서 상기 3-차원 구조물의 종횡비를 감소시키도록, 상기 유기막이 더 높은 지형의 피쳐들에 비교할 때 더 낮은 지형의 피쳐들 상에 우선적으로 상기 기판 상에 퇴적된다. 퇴적하는 단계는 상기 기판을 상기 제1 반응물 증기에 노출하는 단계를 포함한다.
[24] 다른 태양에 있어서, 유기막을 형성하기 위한 방법이 제공된다. 상기 방법은 제1 반응물 증기를 형성하도록 증발기 내에서 제1 반응물을 증발시키는 단계를 포함한다. 반응 공간 내에서 기판이 상기 제1 반응물 증기 및 제2 반응물 증기에 노출된다. 상기 기판 상에 상기 제1 반응물 증기 및 상기 제2 반응물 증기로부터 폴리아믹산 막이 퇴적된다.
[25] 도 1a 및 도 1b는 유기막의 기상 퇴적 방법들의 단순화된 플로우 다이어그램들이다.
[26] 도 2a 내지 도 2d는 여기에 설명된 퇴적 공정들을 위하여 채용될 수 있는 기상 퇴적 장치들의 예시들의 개략적인 대표도들이다.
[27] 도 3a 및 도 3b는 그래프들은 유기막의 기상 퇴적 방법들의 다른 단계들에서의 온도를 도시하는 그래프들이다.
[28] 도 4a 내지 도 4e는 유기막 기상 퇴적 방법에 따른 트렌치들의 바텀-업 매립(bottom-up filling)의 대표도들이다.
[29] 도 5a 내지 도 5d는 각각 퇴적 온도가 상기 증발기 베셀보다 더 높은 방법에 의해, 및 퇴적 온도들보다 더 높은 증발 온도들을 채용한 퇴적 공정에 의해 퇴적된 막들의 두께 맵들이다.
[30] 도 6a 및 도 6b는 유기막 기상 퇴적 방법에 따른 트렌치들의 바텀-업 매립의 대표도들이다.
[31] 도 7은 여기 설명된 특정한 실시예들에 따른 기상 퇴적 장치의 개략적인 측부 단면도이다.
[32] 도 8은 특정한 실시예들에 따른 가열된 증기 분배 블록을 구비하는 기상 퇴적 장치의 개략적인 측부 단면도이다.
[33] 도 9는 특정한 실시예들에 따른 두 개의 가열된 증기 소스들 및 가열된 증기 분배 블록에 대한 두 개의 증기 피드들을 구비하는 기상 퇴적 장치의 개략적인 상면도이다.
[34] 도 10은 도 8 및 도 9의 실시예들과 결합한 사용을 위한 개별적인 반응물들의 개별적인 분배를 갖는 가스 분배 블록의 일 예시의 개략적인 상면도이다.
[35] 도 11은 도 10의 가스 분배 블록을 채용한 기상 퇴적 장치의 개략적인 측부 단면도이다.
[36] 도 12는 개별적인 반응물들을 위한 공통 분배 경로들을 구비하는 기상 퇴적 장치의 개략적인 측부 단면도이다.
[37] 기상 퇴적 기술들이 폴리이미드 막들, 폴리아미드(polyamide) 막들, 폴리우레아 막들, 폴리우레탄(polyurethane) 막들, 폴리티오펜(polythiophene) 막들, 및 그 이상과 같은 유기막들 및 폴리머들에 적용될 수 있다. 폴리머막들의 CVD는 액상 전구체의 적용과 비교할 때 더욱 우수한 두께 조절, 기계적 유연성, 콘포말한 커버리지 및 생체 적합성을 생성할 수 있다. 폴리머들의 순차적 퇴적 공정은 작은 연구 스케일의 반응기들 내에서 높은 성장 속도들을 생성할 수 있다. CVD와 유사하게, 순차적 퇴적 공정들은 더욱 우수한 두께 조절, 기계적 유연성 및 콘포말리티를 생성할 수 있다. 용어들 "순차적 퇴적" 및 "사이클 퇴적"은 상기 반응 메커니즘들이 ALD, CVD, MLD 또는 이들의 하이브리드들을 닮았는지와 무관하게, 상기 기판이 다른 전구체들에 교대로 또는 순차적으로 노출되는 공정들에 적용되도록 여기서 채용될 수 있다.
[38] 그러나, 유기 박막들의 기상 퇴적은 다양한 이유들에 의해 어려울 수 있다. 예를 들어, 유기 막들의 제조를 위한 반응물들은 낮은 증기압 및 휘발성을 갖는 경향이 있고, 따라서 증발시키는 데 높은 소스 온도를 요구한다. 열 분해를 방지함에 동시에, 기상 퇴적이 적절하게 진행되는 것을 허용하도록 충분한 증기압이 발달되는 것을 보장하는 것이 어려울 수 있다. 더욱이, 상기 기판 온도는 퇴적 반응들을 드라이브하도록 상기 증발기보다 일반적으로 더 높으나, 상기 전구체의 증기압을 증가시키기 위한 높은 증발 온도들은 이른 열 분해의 위험을 가질 뿐 아니라, 또한 과도하게 높은 퇴적 속도들 및 결과적인 비-콘포말한 퇴적을 유발할 수 있다.
[39] 예를 들어, 폴리이미드 막은 디안하이드라이드 및 다이아민을 반응시킴에 의해 퇴적될 수 있고, 이러한 공정을 위하여 일반적으로 사용되는 디안하이드라이드는 파이로멜리틱 디안하이드라이드(pyromellitic dianhydride, PMDA)이다. 실온 및 대기압에서, PDMA는 매우 낮은 증기압을 갖는 고체이고, 결과적으로 증발하기 위하여 가열을 요구한다. 폴리이미드 막들의 CVD/VDP에서 증발 온도 조절의 실패는 크랙 형성을 유발할 수 있고, 작은 연구 스케일에 대한 잠재성에도 불구하고, 폴리이미드의 양산-스케일 순차적 퇴적은 제조 가능성을 위한 다수의 어려움들(예를 들어 입자들, 열악한 반복 가능성, 가스 라인들의 막힘(clogging), 열악한 균일성, 낮은 성장 속도)에 직면한다.
[40] 반응물 휘발성 및 성장 온도의 엄격한 요구조건들에 기인하여, 통상의 기상 퇴적 기술들을 사용하여 고품질 유기막들을 얻는 것은 어렵다. 따라서, 유기 박막들의 기상 퇴적을 위한 향상된 접근법을 위한 요구가 존재한다.
[41] 여기 설명된 실시예들에서, 상기 기판에서의 성장 온도는 상기 반응물 소스 온도보다 더 낮을 수 있다. 이러한 온도 프로파일은 상기 반응물들(예를 들어 PDMA와 같은 유기막 퇴적을 위한 전구체들)이 증발되기 위한 충분히 높은 증기압, 과열의 문제들을 방지하도록 충분히 낮은 성장 온도를 허용하며, 높은 성장 속도 공정을 가능하게 한다. 여기에 개시된 퇴적 공정들은 높은 성장 속도 및 스루풋(throughput)을 달성할 수 있고, 고품질 유기 박막들을 생성한다.
[42] 도 1a는 유기막의 기상 퇴적 방법의 단순화된 플로우 다이어그램이다. 먼저 도시된 블록 10에서, 제1 반응물 증기를 형성하도록 제1 유기 반응물이 온도 A에서 증발된다. 증발되는 반응물은 표준 온도 및 압력 조건들(실온 및 대기압) 하에서 액체 또는 고체일 수 있다. 일부 실시예들에서, 증발되는 반응물은 디안하이드라이드, 예를 들어 파이로멜리틱 디안하이드라이드(PMDA)와 같은 유기 전구체를 포함한다. 블록 20에서, 상기 기판은 온도 A보다 낮은 온도 B에서 상기 제1 반응물 증기에 노출되며, 블록 30에서 유기막이 퇴적된다. 상기 방법은 추가적인 단계들을 포함할 수 있고, 반복될 수 있으나, 만약 반복된다면 각각의 반복 내에서 도시된 시퀀스 또는 동일한 시퀀스 내에서 실행될 필요가 없거나, 더욱 복잡한 기상 퇴적 기술들로 즉각적으로 확장될 수 있다.
[43] 일부 실시예들에서, 상기 유기막은 폴리머를 포함한다. 일부 실시예들에서, 퇴적된 상기 폴리머는 폴리이미드이다. 일부 실시예들에서, 퇴적된 상기 폴리머는 폴리아미드이다. 일부 실시예들에서, 퇴적된 상기 폴리머는 폴리우레아이다. 퇴적된 폴리머들의 다른 예시들은 다이머들(dimmers), 트라이머들(trimers), 폴리우레탄들, 폴리티오우레아들(polythioureas), 폴리에스터들, 폴리이민들, 전술한 물질들의 다른 폴리머 형태들 또는 혼합물들을 포함한다.
[44] 일부 실시예들에서, 상기 유기막은 처리 공정에 의해 변환되거나 폴리머화될 수 있는 폴리머막에 대한 전구체 물질을 포함한다. 예를 들어, 퇴적된 상태의 유기막은 폴리아믹산을 포함한다. 일부 실시예들에서, 폴리아믹산은 폴리이미드로 변환된다. 특히, 폴리아믹산은 폴리이미드를 형성하도록 사이클화되거나, 또는 이미드화될(imidized) 수 있는 공통의 폴리이미드 전구체이다. 실험들에서, 디안하이드라이드 및 다이아민을 사용한 기상 퇴적을 위하여, 퇴적된 상태의 막의 조성은 기판 온도에 의존한다는 점이 발견되었다. 예를 들어, 실험들에서 약 130℃ 아래에서 퇴적된 상태의 막은 대부분 폴리아믹산임이 발견되었다. 약 130℃ 내지 160℃ 사이에서, 상기 막은 폴리아믹산 및 폴리이미드의 혼합물이었다. 약 160℃ 위에서, 상기 막은 대부분 폴리이미드(폴리머)였다. 폴리아믹산은 어닐링, 플라즈마(예를 들어 비활성 또는 희가스(rare gas)를 사용하여), 화학적 처리(예를 들어 무수물(anhydride)을 사용하여), UV 처리 및 다른 후-퇴적 처리들을 포함하여 다양한 기술들로 폴리이미드로 변환될 수 있다.
[45] 용어 "약"은 여기서 표준 측정 정밀도 내를 의미하도록 채용된다.
[46] 여기서 개시된 기술들은 다양한 반응기 구성들 내에서 CVD, VPD, ALD, 및 MLD를 포함하여 기상 퇴적 기술들에 적용될 수 있다. 도 1b는 순차적 퇴적 공정의 단순화된 플로우 다이어그램이며, 도 2a 내지 도 2d는 예시적인 반응기 구성들의 개략적인 대표도들을 도시한다.
[47] 도 1b의 플로우 차트는 유기막의 기상 퇴적을 위한 순차적 퇴적 방법을 개시한다. 블록 10에서, 제1 반응물 증기를 형성하도록 온도 A에서 제1 유기 반응물이 증발된다. 블록 40에서, 상기 제1 반응물 증기는 상기 온도 A보다 높은 온도 C에서 가스 라인을 통해 상기 기판으로 이송된다. 일 실시예에 있어서, 상기 제1 반응물 또는 이들의 종들은 자기-포화적 또는 자기-제한적 방식으로 상기 기판 상에 흡착한다. 가스 라인은 상기 소스로부터 상기 기판까지 상기 제1 반응물 증기를 이송하는 임의의 도관(conduit)일 수 있다. 블록 20에서, 상기 기판은 상기 온도 A보다 낮은 온도 B에서 상기 제1 반응물 증기에 노출된다. 블록 45에서, 여분의 상기 제1 반응물 증기(및 임의의 휘발성 반응 부산물)은 상기 기판과의 접촉으로부터 제거된다. 이러한 제거는 예를 들어 퍼지하거나, 펌핑하거나, 상기 기판을 기판이 상기 제1 반응물에 노출되는 챔버 또는 영역으로부터 이동시킴에, 또는 이들의 조합들에 의해 달성될 수 있다. 블록 50에서, 상기 기판은 제2 반응물 증기에 노출된다. 일 실시예에 있어서, 상기 제2 반응물은 상기 기판 상에 상기 제1 반응물의 흡착된 종과 반응할 수 있다. 블록 60에서, 상기 제1 반응물 증기와 상기 제2 반응물 증기가 혼합되지 않도록 여분의 상기 제2 반응물 증기(및 임의의 휘발성 반응 부산물)은 상기 기판과의 접촉으로부터 제거된다. 일부 실시예들에서, 상기 유기막의 기상 퇴적 공정은 플라즈마 및/또는 래디칼들을 채용하지 않으며, 열적 기상 퇴적 공정으로 여겨질 수 있다.
[48] 다양한 반응물들이 이러한 공정들을 위하여 사용될 수 있다. 예를 들어, 일부 실시예들에서, 상기 제1 반응물은 무수물, 예를 들어 디안하이드라이드, 예를 들면 파이로멜리틱 디안하이드라이드(PDMA) 또는 두 개의 반응성 기들을 갖는 임의의 다른 모노머와 같은 유기 반응물이다. 일부 실시예들에서, 상기 제1 반응물은 퓨란-2,5-디오네(furan-2,5-dione)(말레익산 무수물)와 같은 무수물일 수 있다. 일부 실시예들에서, 상기 제2 반응물은 또한 상기 퇴적 조건들 하에서 상기 제1 반응물의 흡착된 종들과 반응할 수 있는 유기 반응물이다. 예를 들어, 상기 제2 반응물은 다이아민, 예를 들어 1,6-다이아미노헥세인(DAH) 또는 상기 제1 반응물과 반응할 것인 두 개의 반응성 기들을 갖는 임의의 다른 모노머일 수 있다. 일부 실시예들에서, 다른 반응물들은 상기 막 특성들을 조정하도록 사용될 수 있다. 예를 들어, 폴리이미드 막 및/또는 폴리이미드 전구체 물질(예를 들어 폴리아믹산) 막은 더 많은 방향성(aromaticity) 및 증가된 건식 식각 저항성을 갖는 더욱 강성의 구조물을 얻도록, 1,6-다이아미노헥세인 대신에 4,4'-옥시다이아닐린(4,4'-oxydianiline) 또는 1,4-다이아미노벤젠(1,4-diaminobenzene)을 사용하여 퇴적될 수 있다. 일부 실시예들에서, 상기 반응물들은 금속 원자들을 함유하지 않는다. 일부 실시예들에서, 상기 반응물은 반금속 원자들을 함유하지 않는다. 일부 실시예들에서, 상기 반응물들 중 하나는 금속 또는 반금속 원자들을 포함한다. 일부 실시예들에서, 상기 반응물들은 카본 및 수소를 함유하고, 다음의 원소들 중 적어도 하나 또는 그 이상: N, O, S, P 또는 Cl 또는 F와 같은 할라이드(halide)를 함유한다. 퇴적 조건들은 선택되는 반응물들에 의존하여 달라질 수 있고 선택에 따라 최적화될 수 있다. 단일 웨이퍼 퇴적 툴 내에서 PMDA 및 DAH를 사용한 폴리이미드의 순차적 퇴적을 위하여, 기판 온도들은 약 100℃ 내지 약 250℃의 범위로부터 선택될 수 있고, 압력들은 약 1 mTorr 내지 약 760 Torr, 더욱 구체적으로는 약 100 mTorr 내지 약 100 Torr의 범위로부터 선택될 수 있다. 일부 실시예들에서, 증발되는 상기 반응물은 1,4-다이이소시아나토부테인(1,4-diisocyanatobutane) 또는 1,4-다이이소시아나토벤젠(1,4-diisocyanatobenzene)의 그룹으로부터 선택되는 유기 전구체를 포함한다. 일부 실시예들에서, 증발되는 상기 반응물은 테레프탈로일 다이클로라이드(terephthaloyl dichloride), 헥세인디오일 다이클로라이드(hexanedioyl dichloride), 옥테인디오일 다이클로라이드(octanedioyl dichloride), 노네인디오일 다이클로라이드(nonanedioyl dichloride), 데케인디오일 다이클로라이드(decanedioyl dichloride)와 같은 알킬디오일 다이클로라이드들(alkyldioyl dichlorides), 또는 테레프탈로일 다이클로라이드(terephthaloyl dichloride)의 군으로부터 선택되는 유기 전구체를 포함한다. 일부 실시예들에서, 증발되는 상기 반응물은 1,4-다이이소티오시아나토벤젠(1,4-diisothiocyanatobenzene) 또는 테레프탈알데하이드(terephthalaldehyde)의 군으로부터 선택되는 유기 전구체를 포함한다. 일부 실시예들에서, 증발되는 상기 반응물은 또한 1,4-다이아미노벤젠(1,4-diaminobenzene), 데케인-1,10-다이아민(decane-1,10-diamine), 4-니트로벤젠-1,3-다이아민(4-nitrobenzene-1,3-diamine) 또는 4,4'-옥시다이아닐린(4,4'-oxydianiline)과 같은 다이아민일 수 있다. 일부 실시예들에서, 증발되는 상기 반응물은 테레프탈릭산 비스(2-하이드록시에틸)에스터(terephthalic acid bis(2-hydroxyethyl) ester)일 수 있다. 일부 실시예들에서, 증발되는 상기 반응물은 카르복실산, 예를 들어 에테인디오익산(ethanedioic acid), 프로페인디오익산(propanedioic acid), 부테인디오익산(butanedioic acid), 펜테인디오익산(pentanedioic acid) 또는 프로페인-1,2,3-트라이카르복실산(propane-1,2,3-tricarboxylic acid)과 같은 알킬-, 알케닐-, 알카디에닐-다이카르복실(alkadienyl-dicarboxylic) 또는 트라이카르복실산들(tricarboxylic acids)일 수 있다. 일부 실시예들에서, 증발되는 상기 반응물은 벤조익산(benzoic acid), 벤젠-1,2-다이카르복실산(benzene-1,2-dicarboxylic acid), 벤젠-1,4-다이카르복실산(benzene-1,4-dicarboxylic acid) 또는 벤젠-1,3-다이카르복실산(benzene-1,3-dicarboxylic acid)과 같은 방향족 카르복실 또는 다이카르복실산일 수 있다. 일부 실시예들에서, 증발되는 상기 반응물은 4-아미노페놀(4-aminophenol), 벤젠-1,4-디올(benzene-1,4-diol) 또는 벤젠-1,3,5-트리올(benzene-1,3,5-triol)과 같은 디올들, 트리올들, 아미노페놀들의 군으로부터 선택될 수 있다. 일부 실시예들에서, 증발되는 상기 반응물은 7-옥테닐트라이클로로실레인(7-octenyltrichlorosilane)과 같은 알케닐트리클로로실레인들(alkenyltrichlorosilanes)와 같은 알케닐클로로실레인들(alkenylchlorosilanes)을 포함할 수 있다.
[49] 블록 30에서, 유기막이 퇴적된다. 당업자들은 블록 30이 별개의 행위라기 보다는 블록들 10, 40, 20, 45, 50 및 60의 결과를 나타낼 수 있다는 것을 이해할 것이다. 블록들 10-60은 함께 사이클 70을 정의하며, 이는 충분한 두께의 막이 상기 기판 상에 남을 때까지 반복될 수 있고(블록 80), 상기 퇴적이 종료된다(블록 90). 상기 사이클(70)은 추가적인 단계들을 포함할 수 있고, 각각의 반복 내에서 동일한 시퀀스일 필요는 없거나 동일하게 수행될 필요가 없고, 더욱 복잡한 기상 퇴적 기술들까지 즉각적으로 확장될 수 있다. 예를 들어, 사이클(70)은 각각의 사이클 내에서 또는 선택된 사이클 내에서 추가적인 반응물들의 공급 및 제거와 같은 추가적인 반응물 공급 블록들을 포함할 수 있다. 도시되지는 않았지만, 상기 공정은 폴리머를 형성하도록 상기 퇴적된 막을 처리하는 단계(예를 들어 UV 처리, 어닐링 등)를 추가적으로 포함할 수 있다.
[50] 일부 실시예들에서, 상기 유기막은 금속 원자들을 함유하지 않는다. 일부 실시예들에서, 상기 유기막은 반금속 원자들을 함유하지 않는다. 일부 실시예들에서, 상기 유기막은 금속 또는 반금속 원자들을 함유한다. 일부 실시예들에서, 상기 유기막은 카본과 수소, 및 다음 원소들 중 적어도 하나 또는 그 이상을 포함한다: N, O, S 또는 P.
[51] 도 2a는 유기막의 기상 퇴적을 위한 장치(100)의 단순화된 개략 대표도이다. 상기 장치는 제1 유기 반응물(110)을 제1 반응물 증기로 증발시키도록 구성된 제1 반응물 베셀(105)를 포함한다. 반응 챔버는 적어도 하나의 기판(120)을 수용하도록 구성되는 반응 공간(115)을 한정한다. 조절 시스템(125)은 온도 A에서 제1 반응물 베셀(105) 내에 제1 반응물(110)을 유지하도록 구성되며, 온도 B에서 반응 공간(115) 내에 기판(120)을 유지하도록 구성되고, 상기 온도 B는 상기 온도 A보다 더 낮다.
[52] 가스 라인(130)은 반응 공간(115)으로 제1 반응물 베셀(105)을 유체 연결하며, 제1 반응물 베셀(105)로부터 인렛 매니폴드(inlet manifold)(135)까지 반응 공간(115)까지 상기 제1 반응물 증기를 선택적으로 이송하도록 구성된다. 일 실시예에 있어서, 조절 시스템(125) 또는 별개의 온도 조절은 온도 C에서 가스 라인(130)을 유지하도록 구성되고, 상기 온도 C는 상기 온도 A보다 더 높다.
[53] 장치(100)는 제2 반응물(145)을 홀딩하는 제2 반응물 베셀(140)을 포함한다. 일부 실시예들에서, 제2 반응물(145)은 자연적으로 가스 상태에 있으며; 다른 실시예들에서, 제2 반응물 베셀(140)은 또한 제2 반응물(145)을 자연 액체 또는 고체 상태로부터 증발시키도록 구성된다. 상기 제2 반응물 베셀은 인렛 매니폴드(135)와 선택적으로 유체 연통된다. 상기 인렛 매니폴드는 상기 챔버 폭을 가로질러 공유된 분배 플레넘(shared distribution plenum)을 포함할 수 있고, 또는 개별적인 반응물들을 위하여 반응 공간(120)까지 개별적인 경로들을 유지할 수 있다. 순차적 퇴적의 실시예들에서, 입자 생성을 유발할 수 있는, 다수의 반응물들을 위한 공통의 흐름 경로들(flow paths)의 표면을 따라 반응들을 방지하기 위하여 반응 공간(115)으로의 도입까지 상기 반응물 인렛의 경로를 별개로 유지하는 것이 요구될 수 있다. 일부 실시예들에서, 상기 장치는 추가적인 반응물들의 공급을 위한 추가적인 베셀들을 포함할 수 있다.
[54] 하나 또는 그 이상의 비활성 가스 소스(들)(150)은 제1 반응물 베셀(105) 및 반응 공간(115)과 선택적으로 유체 연통된다. 비활성 가스 소스(150)는 또한 도시된 것과 같이 제2 반응물 베셀(140), 및 캐리어 가스로 작용하기 위한 임의의 다른 요구되는 반응물 베셀들과 선택적으로 유체 연통된다. 조절 시스템(125)은 여기 설명된 퇴적 방법들에 따라 상기 가스 분배 시스템의 밸브들과 연통된다. 순차적 퇴적 공정을 위하여, 상기 밸브들은 상기 기판을 상기 반응물들에 교대로 및 반복적으로 노출하는 방식으로 작동되는 한편, 통상의 CVD 공정에서 상기 반응물들의 동시적인 공급을 위하여, 상기 밸브들은 상기 기판을 상호 반응성 반응물들에 동시에 노출하도록 작동할 수 있다.
[55] 반응 공간(115)으로부터의 배기 아웃렛(155)은 배기 라인(160)을 통해 진공 펌프(165)와 연통된다. 조절 시스템(125)은 요구되는 작동 압력을 유지하고, 여분의 반응물 증기 및 부산물을 배기 아웃렛(155)을 통하여 배기하기 위하여 진공 펌프(165)를 작동하도록 구성된다.
[56] 도 2b는 여기 설명된 유기막의 기상 퇴적을 위하여 채용될 수 있는 샤워헤드 반응 챔버(200)의 예시를 개략적으로 도시한다. 상기 반응기는 기판 서포트(208) 상에서 기판(206)을 가로질러 반응물 증기들을 수신하고 분배함에 의해 구성되는 샤워헤드(204)를 포함한다. 단일 기판 챔버로서 도시된 한편, 당업자들은 상기 샤워 반응기들이 또한 다수의 기판들을 수용할 수 있음을 이해할 것이다. 반응 공간(209)은 샤워헤드(204) 및 기판(206) 사이에서 한정된다. 제1 인렛(210)은 제1 반응물의 소스와 연통되고, 제2 인렛(212)은 제2 반응물의 소스와 연통된다. 추가적인 인렛들(도시되지 않음)이 비휘발성 가스들 및/또는 추가적인 반응물들의 개별적인 소스들을 위하여 제공될 수 있고, 샤워헤드(204)는 또한 순차적인 퇴적(예를 들어 ALD) 공정들을 위하여 상들(phases) 사이에서 반응물의 제거를 가속화하도록 별개의 배기부(도시되지 않음)와 함께 제공될 수 있다. 제1 인렛(210) 및 제2 인렛(212)이 모두 샤워헤드(204)의 단일 플레넘과 연통하는 것으로 도시된 한편, 다른 배열들에서는 상기 인렛들이 상기 반응 공간으로 반응물들을 독립적으로 공급할 수 있고, 샤워헤드 플레넘을 공유할 필요가 없음이 이해될 것이다. 기판 서포트(208)의 베이스를 둘러싸는 배기 링의 형태로 도시된 배기 아웃렛(214)은 진공 펌프(216)와 연통된다.
[57] 도 2c는 여기 설명된 유기막의 기상 퇴적을 위하여 채용될 수 있는 반응 챔버(230)의 다른 구성을 도시하며, 여기서 도 2b에서의 피쳐들과 유사한 기능의 피쳐들은 유사한 참조부호들에 의해 참조된다. 일반적으로 수평형 흐름 반응기(horizontal flow reactor)로 알려진 반응 챔버(230)는 제1 반응물 인렛(210), 제2 반응물 인렛(212) 및 배기 아웃렛(216)을 구비하도록 구성된다. 단일의 기판 챔버로서 도시된 한편, 당업자들은 수평형 흐름 반응기들이 또한 다수의 기판들을 수용할 수 있음을 이해할 것이다. 추가적인 인렛들(도시되지 않음)은 비휘발성 가스들 및/또는 추가적인 반응물들의 개별적인 소스들을 위하여 제공될 수 있다. 개별적인 인렛들(210, 212)은 순차적 퇴적 반응기들을 위하여 일반적으로 선호되는 바와 같이 반응 공간(209)의 상류로(upstream) 퇴적 반응들을 최소화하도록 도시되나, 다른 배열들에서 다른 반응물들이, 특히 CVD 공정을 위하여 공통의 인렛 매니폴드를 통해 제공될 수 있다는 점이 이해될 것이다. 제2 인렛(212)이 리모트 플라즈마 유닛(remote plasma unit, RPU)(202)으로부터 공급되는 것과 같이 도시되는 한편, 당업자들은 상기 RPU가 열적 퇴적 공정들을 위하여 생략되거나 또는 전원이 꺼진 채 잔류할 수 있다는 점을 이해할 것이다. 당업자들은 다른 유형들의 수평 흐름 반응기들에서, 제1 반응물이 제1 방향으로 흐르고 제2 반응물이 개별적인 펄스들 내에서 다른 방향으로 흐를 수 있도록 다른 반응물들이 또한 상기 챔버의 다른 측면들로부터, 상기 다른 측면들 상에 교대로 작동되는 별개의 배기부들과 함께 제공될 수 있다는 점을 이해할 것이다.
[58] 도 2d는 유기막의 기상 퇴적을 위하여 채용될 수 있는 반응 챔버(240)의 다른 예시를 도시한다. 상기 도시된 챔버는 시간-분할된 반응들보다는 공간-분할된 순차적 퇴적 반응들을 위하여 구성된다. 상기 공간-분할된 반응들은 기판들이 이를 통해 움직이는 다른 영역들, 여기서 영역들 A, B, C, 및 D를 채용한다. 이와는 달리, 상기 가스 주입 시스템은 상기 기판들에 대하여 움직일 수 있고, 기판들이 정지되거나 또는 회전할 수 있다. 상기 영역들은 배리어들(242)에 의해 분리되고, 이는 상기 영역들 A 내지 D 사이의 증기 상호작용들을 최소화하는 물리적 벽들, 비활성 커튼들, 배기부들, 또는 이들의 조합들일 수 있다. 기판 서프트(들)(208)은 도시된 것과 같이 회전하는 플랫폼, 또는 선형으로 배열된 영역들을 위한 컨베이어 벨트(도시되지 않음) 형태를 취할 수 있다. 일 예시에 있어서, 영역 A는 상기 기판 상에 흡착할 수 있는 전구체와 같은 상기 제1 반응물이 지속적으로 배관되고(plumbed) 공급되도록 작동될 수 있으며, 영역들 B 및 D는 비휘발 또는 퍼지 가스가 배관되고 공급되도록 작동될 수 있고, 영역 C는 상기 제1 반응물의 상기 흡착된 종과 반응하는 제2 반응물이 배관되고 공급되도록 작동될 수 있다. 기판들(206)(네 개가 도시된)은 상기 사이클이 반복되기 전에 상기 제1 반응물(영역 A), 비활성 가스(영역 B), 제2 반응물(영역 C) 및 비활성 가스(영역 D)에 순차적으로 노출되도록 상기 영역들을 통해 움직인다. 공간-분할된 플라즈마 순차적 퇴적의 경우에, 상기 반응물들의 체류 시간은 상기 영역을 통한 상기 반응물들의 속도뿐만 아니라 기판 서포트(208)의 움직임의 속도에 의존할 수 있다. 일부 경우들에서, 상기 기판은 정지하거나 또는 회전하며, 가스 주입기(들)과 같은 상기 가스 공급 시스템은 상기 기판들 상부에서 회전된다. 상기 주입기(들) 또는 기판들의 회전 속도는 또한 상기 가스 체류 시간에 영향을 줄 수 있다. 공간-분할된 순차적 퇴적에 대한 변형들에서, 공간-분할되고 시간-분할된 순차적 퇴적의 조합이 동일한 영역에 다른 시간들에서 다른 반응물들을 공급할 수 있는 한편, 기판들은 상기 영역들을 통해 움직인다. 각각의 영역이 개별적인 반응물들을 공급할 수 있고, 더 많은 개수의 영역들에 의해 분할된 더 큰 플랫폼들을 제공함에 의해, 또는 더 많은 개수의 영역들을 통해 더욱 긴 컨베이어들을 제공함에 의해 추가적인 영역들이 추가될 수 있다.
[59] 도시되지는 않은 한편, 당업자들은 여기 설명된 원리들 및 이점들이 수직 퍼니스들(vertical furnaces)과 같은, CVD 및 순차적 퇴적(예를 들어 ALD, 사이클 CVD 및 하이브리드들) 공정들을 위하여 본 기술에서 알려진, 뱃치 반응기들을 포함하여 다른 유형들의 기상 퇴적 반응기들에 적용할 수 있음을 이해할 것이다.
[60] 도 3a 및 도 3b의 그래프들은 유기막의 기상 퇴적 방법들의 다른 단계들에서의 온도를 도시한다. 도 3a는 실시예들에 따른 상기 반응물 경로를 따른 온도 프로파일을 도시한다. 상기 반응물의 소스는 온도 A에서 증발된다. 상기 반응 챔버, 또는 적어도 상기 기판은 온도 B에서 유지되고, 이는 상기 온도 A보다 더 낮다. 도 3b는 일부 실시예들의 온도 프로파일을 도시하며, 여기서 상기 반응물 증기는 상기 증발기 베셀로부터 상기 반응 챔버까지 상기 온도 A보다 더 높은 온도 C에서 가스 라인 내에서 이송된다. 더 높은 온도의 가스 라인은 응축의 위험 및 그 결과적인 오염 및/또는 가스 라인 막힘의 위험을 감소시킨다.
[61] 도시된 온도 프로파일은 낮은 증기압 반응물들 및/또는 성장 온도 제한사항들을 포함하는 다양한 기상 퇴적 공정들에 적용될 수 있다. 각각의 반응 내에서의 특정한 온도들은 반응물들, 요구되는 막 특성들, 퇴적 메커니즘 및 반응기 구성을 포함하는 다수의 요인들에 의존할 것이다. 상기 실시예들은 기상 유기막 퇴적을 위한 유기 전구체들을 증발시키기 위하여 특히 유용하다.
[62] 전구체 응축 또는 다층 흡착은 반복성 및 공정 안정성의 문제들을 유발할 수 있다. 응축 및 다층 흡착은 상기 소스 온도가 상기 퇴적 온도보다 더 높을 때 일어날 수 있다. 일부 실시예들에서, 상기 소스 베셀 및 소스 라인들 내의 압력은 상기 반응 챔버 또는 퇴적이 발생하는 영역 내의 압력보다 더 높다. 이러한 음의 압력 차이는 전구체 응축 및 다층 흡착의 가능성을 감소시킬 수 있다. 이러한 음의 압력 차이는 도 3a에 도시된 상기 온도 프로파일이 가해지는 반응물들과, 도 3a에 도시된 상기 온도 파일이 가해지지 않는 반응물들 모두를 포함하여, 기상 퇴적 공정에 대한 상기 반응물들 중 하나 또는 그 이상에 적용될 수 있다. 실험들에서, 상기 PMDA 소스 라인은 45 내지 50 Torr에 있었던 한편, 상기 반응 챔버는 약 2 내지 10 Torr에 있었다. 일부 실시예들에서, 상기 소스 라인 및 상기 반응 챔버 또는 퇴적이 발생하는 영역 사이의 압력 차이는 1 mTorr보다 크거나, 760 Torr보다 작거나, 약 1 mTorr 내지 760 Torr, 약 5 mTorr 내지 300 Torr, 약 10 Torr 내지 200 Torr, 및/또는 다른 전술한 값들 중 임의의 값들 사이일 수 있다. 일부 실시예들에서, 상기 반응 챔버 또는 퇴적이 발생하는 영역의 압력에 대한 상기 소스 라인의 압력의 비율은 Torr 단위로 1.01보다 크거나, 1000보다 작거나, 약 2 내지 100, 약 3 내지 50, 약 5 내지 25, 및/또는 다른 전술한 값들 중 임의의 값들 사이일 수 있다.
[63] 본 발명의 일부 실시예들에서, 상기 온도 A는 120℃보다 크거나, 250℃보다 작거나, 약 120℃ 내지 200℃, 약 140℃ 내지 190℃, 및/또는 다른 전술한 값들 중 임의의 값들 사이일 수 있다. 일부 실시예들에서, 상기 온도 B는 상기 온도 A보다 약 5℃ 내지 약 50℃ 더 낮거나, 상기 온도 A보다 약 10℃ 내지 약 30℃ 더 낮거나, 및/또는 상기 온도 A보다 다른 전술한 값들 중 임의의 값들 사이만큼 더 낮을 수 있다. 일부 실시예들에서, 상기 온도 C는 상기 온도 A보다 약 0.1℃ 내지약 300℃ 더 높거나, 상기 온도 A보다 약 1℃ 내지 약 100℃ 더 높거나, 상기 온도 A보다 약 2℃ 내지 약 75℃ 더 높거나, 상기 온도 A보다 약 2℃ 내지 약 50℃ 더 높거나, 및/또는 다른 전술한 값들 중 임의의 값들 사이일 수 있다. 일부 실시예들에서, 상기 온도 A에 대한 온도 C의 비율은 캘빈 단위로 약 1.001 내지 약 2.0, 약 1.001 내지 약 1.5, 약 1.001 내지 약 1.25, 및/또는 약 1.001 내지 약 1.10이다. 일부 실시예들에서, 상기 온도 C는 온도 A보다 더 낮을 수 있으나 온도 B보다 더 높을 수 있다. 일부 실시예들에서, 상기 온도 C는 온도 A보다 약 0.1℃ 내지 약 200℃, 약 0.1℃ 내지 약 50℃, 약 0.1℃ 내지 약 30℃ 더 낮지만 온도 B보다는 더 높을 수 있다. 그러나, 일부 실시예들에서, 상기 온도 C는 온도 A와는 대략 동일하나, 온도 B보다 더 높을 수 있다. 일부 실시예들에서, 상기 온도들 A, B 및 C는 대략 같을 수 있다.
[64] 반응물들의 낮은 증기압에 더하여, 고체 반응물들의 미세 미립자 형태(fine particulate form)는 기상 퇴적 동안에 문제점들을 부여할 수 있다. 예를 들어 퇴적을 위하여 펄스 단계 동안의 압력 차이들이 너무 크다면 상기 입자들은 상기 기판까지 쉽게 불어질(blown) 수 있거나 운반될 수 있다. 필터들이 상기 기판까지 불어지거나 운반되는 상기 미립자들을 감소시키도록 사용될 수 있는 한편, 필터들은 막힐 수 있고, 상기 가스 라인 전도도(conductance)를 상당히 감소시켜 도즈가 너무 낮아질 수 있다. 따라서 퇴적 동안에 상기 압력 차이들을 약 80 Torr보다 작게, 더욱 바람직하게는 약 50 Torr보다 작게 제한하고, 필터들 없이 수행하는 것이 선호된다.
[65] 여기 설명된 실시예들을 사용하여 유기막을 퇴적하는 것이 막 모폴로지를 재단하는 것을 요이하게 한다는 점이 발견되었다. 일부 실시예들에서, 반응물들 및 장비에 대한 교대의 펄스 및 상기 전구체 소스 베셀 또는 증발기와 비교하여 더욱 낮은 퇴적 온도를 채용하여, 3-차원 구조물들의 종횡비를 감소시키는 요구되는 비-콘포말한 막이 비-평면 기판 상에 퇴적될 수 있다. 일부 실시예들에서, 상기 비-평면 기판은 트렌치들 또는 비아들 또는 다른 3-차원 구조물들을 포함한다. 상기 막은 상기 기판의 상부 필드 영역 상에서보다 상기 기판의 하부 피쳐 상에서 더욱 두꺼운 막을 달성하는 방식으로 퇴적될 수 있다. 이러한 바텀-업(bottom-up) 퇴적은 통상의 기상 퇴적이 일반적으로 상부 필드 영역들 상에 더욱 빠르게 성장하는 점을 고려할 때(통상의 CVD와 같이), 트렌치들의 탑에서 핀칭(pinching) 및 "키홀(keyhole)" 형성을 유발하거나, 또는 콘포말하다(통상의 순차적 퇴적 공정들과 같이).
[66] 도 4a 내지 도 4c는 일부 실시예들에 따른 기판의 3-차원 구조물들의 종횡비를 감소시키는 기상 퇴적 공정의 개략적인 대표도들이다. 도 4a는 트렌치들(410)의 형태로 3차원(3D) 피쳐들의 패턴을 갖는 기판(400) 단면의 개략적인 대표도를 도시한다. 다른 실시예들에서, 상기 기판은 다른 표면 지형을 갖는다. 상기 3D 피쳐들은 높은 종횡비들을 가지며 매우 작을 수 있고, 이는 통상적으로 보이드들을 형성하지 않고 상기 바닥에 퇴적이 도달하고 상기 피쳐들 내의 갭들 또는 트렌치들을 채우는 것을 어렵게 만든다. 도시된 실시예에서, 상기 3D 피쳐들은 5 nm 내지 10 ㎛, 더욱 바람직하게는 약 5 nm 내지 약 500 nm, 또는 약 10 nm 내지 약 200 nm의 측방향 치수들을 가질 수 있다. 동시에, 개시된 실시예들을 위한 상기 3D 피쳐들 또는 트렌치들(410)의 폭에 대한 높이의 비율 또는 종횡비는 약 0.25 내지 1000, 약 0.5 내지 약 100, 더욱 바람직하게는 약 1.0 내지 75, 더더욱 바람직하게는 약 2.0 내지 약 50의 범위일 수 있다. 도 4b는 기판(400)의 단면을 도시하며, 여기서 퇴적되는 폴리머(420)는, 대부분의 기상 퇴적 기술들과 대조적으로, 바텀-업 매립(bottom-up filling) 공정에서 상기 3D 피쳐들의 바닥부에 퇴적이 선호됨에 따라 트렌치들(410)의 종횡비의 감소를 나타낸다. 도 4c는 기판(400)의 단면을 나타내며, 여기서 퇴적된 유기막(420)은 미세그래프에서 식별 가능한 임의의 심들(seams) 없이, 그리고 보이드들 없이 트렌치들(410)을 고르게 채웠다. 일부 실시예들에서, 상기 퇴적된 유기막은 상기 3차원 구조물들 내의 상기 종횡비를 약 1.5보다 크게, 약 5보다 크게, 약 25보다 큰 인자(factor)만큼, 또는 일부 실시예들에서는 약 100보다 더 큰 인자만큼 감소시킨다. 일부 실시예들에서, 상기 퇴적된 유기막은 상기 유기막의 퇴적 이후에 실질적인 종횡비가 더 이상 남지 않도록 상기 기판의 상기 종횡비를 감소시킨다. 일부 실시예들에서, 상기 퇴적된 유기막은 비아들 또는 트렌치들과 같은 상기 3-차원 구조물들을, 상기 채워진 부피 내에 임의의 실질적인 심 또는 보이드들을 구비하지 않도록 상기 3-차원 구조물 부피의 적어도 약 50%, 적어도 약 75%, 적어도 약 90%, 적어도 약 95%를 채운다. 일부 실시예들에서, 상기 퇴적된 유기막은 비아들 또는 트렌치들과 같은 상기 3-차원 구조물들을 완전히 채우고, 및/또는 상기 기판 내의 상기 3-차원 구조물들의 탑 레벨 위에 유기의, 실질적으로 평평한 막이 존재한다. 상기 퇴적된 유기막은 폴리아믹산, 폴리이미드, 폴리우레아, 폴리우레탄, 폴리토펜(polythophene) 및 이들의 조합들을 포함할 수 있다.
[67] 도 4d 및 도 4e는 음의 온도 차이 실험의 결과를 나타내는 전자 미세그래프들이고, 여기서 PMDA 및 DAH는 폴리이미드 막을 퇴적하도록 순차적 퇴적 공정 내에서 상기 기판에 교대로 및 순차적으로 제공되었다. 상기 제1 반응물 PMDA는 150℃에서 증발되었고, 상기 PMDA 가스 라인은 155℃에서 유지되었고, 상기 기판은 127℃에서 유지되었다. 450 sccm의 라인 흐름들, 2 Torr의 펌프 라인 압력 및 40 내지 100 Torr의 소스 라인 압력이 사용되었다. 11초/8.1초 및 4.7초/9초의 펄스/퍼지 길이들이 PMDA 및 DAH를 위하여 각각 사용되었다. 도 4d는 기판(400)의 단면을 도시하며, 여기서 폴리머(420)가 20 사이클들 이후에 트렌치들(410)의 바텀-업 매립으로 퇴적되었다. 도 4e는 기판(400)의 단면을 도시하며, 여기서 폴리머(420)가 60 사이클들 이후에 트렌치들(410)의 바텀-업 매립으로 퇴적되었다. 도 4e의 상기 퇴적된 막은 최초 트렌치들의 지형과 비교할 때 상대적으로 평평한 표면을 나타낸다.
[68] 일부 실시예들에서, 상기 막의 평면성은 여분의 반응물 증기가 상기 기판과의 접촉으로부터 제거되는 기간의 길이에 기초하여 재단될 수 있다. 여분의 반응물이 제거되는 기간을 감소시키는 것은 상기 퇴적된 유기막의 평면성을 증가시킨다. 일부 실시예들에서, 여분의 상기 제1 반응물 증기를 제거하는 단계 및 여분의 상기 제2 반응물 증기를 제거하는 단계 각각은 1초보다 크거나, 10초보다 작거나, 약 1초 내지 약 10초, 및/또는 다른 전술한 값들 중 임의의 값들 사이에 걸쳐 일어난다.
실험예 1
[69] 도 5a 내지 도 5d는 상기 증발기로부터 상기 기판까지 음의 온도 차이를 사용하고(도 5a 및 도 5b), 상기 증발기로부터 상기 기판까지 양의 온도 차이를 사용한(도 5c 및 도 5d) 유사한 순차적 퇴적 공정들을 비교하는 실험 결과들을 도시한다. 모든 실험들은 ASM International, N.V.(Almere, the Netherlands)에 의해 공급되는 PULSAR 3000TM beta ALD 툴 내에서 300 mm 웨이퍼들을 채용하였다. 상기 음의 온도 차이는 양의 차이를 갖는 공정과 비교할 때 성장 속도의 3배 이상으로 막을 퇴적하였고, 훨씬 높은 두께 균일성을 갖는 막을 생성하였다.
[70] 상기 음의 온도 차이 실험을 위하여, PMDA 및 DAH는 폴리이미드 막을 퇴적하도록 순차적 퇴적 공정 내에서 상기 기판에 교대로 및 순차적으로 제공되었다. 상기 제1 반응물 PMDA는 150℃에서 증발되었고, 상기 PMDA 가스 라인은 153℃에서 유지되었고, 상기 기판은 127℃에서 유지되었다. 상기 제2 반응물 DAH는 45℃에서 유지되었다. 450 sccm의 라인 흐름들이 사용되었고, 11초/8.066초 및 4.68초/9초의 펄스/퍼지 길이들이 PMDA 및 DAH를 위하여 각각 사용되었다. PMDA를 위하여 펄스 압력 차이는 약 45 Torr로 설정되었고, 라인 필터들은 사용되지 않았다. 60 퇴적 사이클들이 적용되었고, 결과되는 막은 분광 엘립소메트리(spectroscopic ellipsometry)에 의해 분석되었다. 도 5a 및 도 5b는 모두 3 mm 에지 제외(edge exclusion)를 채용하며, 각각 200 mm 웨이퍼 맵핑 사이즈 및 300 mm 웨이퍼 맵핑 사이즈 상에서 얻어지는 두께 맵들을 나타낸다. 성장 속도는 5.1 Å/사이클이었고, 1σ 두께 비-균일성들은 200 mm 및 300 mm 맵핑 사이즈들 각각을 사용할 때 0.6% 및 1.4%였다.
[71] 상기 양의 온도 차이 실험을 위하여, 상기 제1 반응물 PMDA는 140℃에서 증발되었고, 상기 PMDA 가스 라인은 143℃에서 유지되었고, 상기 기판은 150℃에서 유지되었다. 상기 제2 반응물 DAH는 45℃에서 유지되었다. 450 sccm의 라인 흐름들이 사용되었고, 5초/5초 및 2초/5초의 펄스/퍼지 길이들이 PMDA 및 DAH를 위하여 각각 사용되었다. PMDA를 위하여 펄스 압력 차이는 약 45 Torr로 설정되었고, 라인 필터들은 사용되지 않았다. 165 퇴적 사이클들이 적용되었고, 결과되는 막은 분광 엘립소메트리에 의해 분석되었다. 도 5c 및 도 5d는 모두 3 mm 에지 제외를 적용하며, 각각 200 mm 웨이퍼 맵핑 사이즈 및 300 mm 웨이퍼 맵핑 사이즈 상에서 얻어지는 두께 맵들을 나타낸다. 성장 속도는 1.6 Å/사이클이었고, 1σ 두께 비-균일성들은 200 mm 및 300 mm 맵핑 사이즈들 각각을 사용할 때 1.1% 및 6.0%였다.
실험예 2
[72] 트렌치들을 구비하는 패터닝된 웨이퍼들 상에 수행된 다른 음의 온도 차이 실험에서, 트렌치 패턴들을 구비하는 기판 상에 폴리이미드 막을 퇴적하도록 PMDA 및 DAH는 순차적 공정 내에서 반응되었다. 상기 트렌치들은 25 내지 35 nm 개구부들을 구비하는 40 및 50 nm의 가변적인 피치들을 가졌다. 상기 제1 반응물 PMDA는 150℃에서 증발되었고, 상기 PMDA 가스 라인은 153℃에서 유지되었고, 상기 기판은 127℃에서 유지되었다. 상기 제2 반응물 DAH는 45℃에서 유지되었다. 450 sccm의 라인 흐름들이 사용되었고, 11초/8.066초 및 4.68초/9초의 펄스/퍼지 길이들이 PMDA 및 DAH를 위하여 각각 사용되었다. 결과되는 막은 터널링 전자 현미경(tunneling electron microscopy, TEM)에 의해 분석되었다. 20 사이클들 이후에, 상기 TEM 이미지들은 상기 막이 상기 트렌치 바닥 영역들 상에서 더 두껍고, 상기 트렌치들의 상기 측벽들 상에서 더 얇음을 나타냈다. 동일한 변수들을 사용하여 성장된 평면 웨이퍼 상의 막 두께는 7 nm였고, 일부 트렌치들의 바닥 상의 상기 막 두께는 약 11 nm였으며, 일부 트렌치들의 측면들 상의 상기 막 두께는 약 4 nm였다. 따라서 성장은 상기 트렌치들의 상기 바닥 영역들 내에서 더욱 빠르게 진행하였고, 이는 바텀-업 매립을 가리킨다. 60 사이클들 이후에, 상기 TEM 분석은 상기 트렌치들의 폴리이미드로의 심 없는 바텀-업 갭 매립을 나타냈다. 상면은 상대적으로 평탄했고, 일부는 자기-평탄화(self-planarizing) 거동을 나타냈다.
실험예 3
[73] 다른 음의 온도 차이 실험에서, 트렌치 패턴들을 구비하는 기판 상에 폴리이미드 막을 퇴적하도록 PMDA 및 DAH는 순차적 퇴적 공정 내에서 반응되었다. 다른 시간 퍼지 길이들이 사용되었다. 하나의 막에서, PMDA를 위하여 8.066 초 및 DAH를 위하여 9.0초의 퍼지 길이가 사용되었고, 다른 막에서 PMDA 및 DAH 각각을 위하여 15초의 퍼지 길이가 사용되었으며, 다른 막에서PMDA 및 DAH 각각을 위하여 25초의 퍼지 길이가 사용되었다. 결과되는 막은 TEM에 의해 분석되었다. 퍼지 길이는 갭 매립 성능에 영향을 주는 것으로 보였다. 그러나, 더욱 짧은 퍼지들은 상기 구조물의 탑 상에 더욱 평평한 막을 유발하였다. 따라서 퍼지 길이는 상기 막의 최종 모폴로지를 재단하기 위한 요인으로서 사용될 수 있다.
실험예 4
[74] 다른 음의 온도 차이 실험에서, PMDA 및 DAH는 다른 온도들에서 두 개의 개별적이고 순차적인 퇴적 공정들 내에서 반응되었다. 제1 실험에서, 상기 PMDA는 150℃에서 증발되었고, 상기 기판은 127℃에서 유지되었다. 제2 실험에서, 상기 PDMA는 180℃에서 증발되었고, 상기 기판은 160℃에서 유지되었다. 상기 제1 실험에서 퇴적된 막은 대부분 폴리아믹산이었고, 상기 제2 실험에서 퇴적된 막은 대부분 폴리이미드였다. 퇴적 온도는 상기 반응물들이 PMDA 및 DAH일 때 상기 퇴적된 막의 조성에 영향을 주는 것으로 보인다. 더 낮은 퇴적 온도는 폴리아믹산의 더 큰 비율을 유발하는 것으로 보이며, 더 높은 퇴적 온도는 폴리이미드의 더 큰 비율을 유발하는 것으로 보인다.
실험예 5
[75] 다른 음의 온도 차이 실험에서, 퇴적된 폴리아믹 막은 폴리이미드를 형성하도록 어닐링되었다. PMDA 및 DAH를 반응시킬 때, 폴리아믹산이 더 낮은 퇴적 온도들에서 더욱 큰 비율들로 퇴적된다. 폴리이미드로의 변환은 FTIR 분광법에 의해 확인되었다. 다른 온도에서 어닐링된 네 개의 폴리아믹 막들의 데이터는 다음과 같다.
127℃에서 퇴적된 폴리아믹 막 어닐링 어닐링된 막
평균두께 (nm) 두께 비-균일성 (1σ) 굴절율 온도(℃) 평균두께 (nm) 두께 비-균일성 (1σ) 굴절율
1 32.898 1.44 1.578 200 22.707 1.99 1.6099
2 31.048 1.87 1.5719 250 20.438 2.89 1.6119
3 31.183 1.65 1.572 300 20.385 2.11 1.6149
4 30.665 1.81 1.5642 350 19.426 2.39 1.6056
실험예 6
[76] 다른 음의 온도 차이 실험에서, 유기막들이 다른 온도들에서 퇴적되었다. 두께는 분광 엘립소메트리(SE) 및 X-선 반사도(X-ray reflectivity, XRR)로 측정되었다. 밀도 및 RMS-거칠기 또한 측정되었다. 네 개의 막들을 위한 데이터는 다음과 같다.
퇴적 온도 어닐링 SE 두께(nm) XRR 두께 (nm) 밀도(g/cm3) 거칠기 (nm)
1 127℃ 없음 32.6 33.4 1.419 0.338
2 127℃ 200℃ 24.6 24.6 1.434 0.449
3 150℃ 없음 25.2 25.9 1.472 0.377
4 160℃ 없음 38.2 39.4 1.401 0.400
실험예 7
[77] 다른 음의 온도 차이 실험에서, 폴리아믹산으로부터 폴리이미드와 같은 더욱 식각 저항성의 폴리머로의 변환을 확인하도록 상기 퇴적된 막을 식각하는 데 물이 사용되었다. 폴리아믹산은 수용성이고 물에 의해 식각될 수 있다. 대조적으로, 폴리이미드는 수용성이 아니며 물에 의해 식각될 수 없다. 상기 제1 막은 127℃에서 퇴적되었고, 따라서 대부분 폴리아믹산이었다. 상기 제2 막은 160℃에서 퇴적되었고, 따라서 대부분 폴리이미드였다. 상기 제3 막은 127℃에서 퇴적되었고, 상기 퇴적된 폴리아믹산을 폴리이미드로 변환하도록 후속적으로 아르곤 플라즈마로 처리되었다. 따라서 대부분 폴리아믹산이었다. 상기 막들의 두께들은 물에 대한 노출 이전과 이후에 측정되었고 물에 의한 식각 정도를 결정하기 위하여 비교되었다. 다음의 데이터는 127℃에서 퇴적된 상기 폴리아믹 막이 물에 의해 식각되었음을 나타내며, 160℃에서 퇴적된 상기 폴리아미드 막 및 127℃에서 퇴적되고 폴리이미드를 형성하도록 후속적으로 큐어링된 상기 폴리아믹산 막은 물에 의해 식각되지 않았다.
127℃에서 퇴적
H2O 내의 시간(초) 시작 두께(nm) 종료 두께 (nm) Δ (nm)
1 33.20 7.10 26.10
5 33.12 9.27 23.85
10 33.07 7.52 25.55
160℃에서 퇴적
H2O 내의 시간(초) 시작 두께(nm) 종료 두께 (nm) Δ (nm)
10 41.10 40.87 0.23
20 40.72 39.89 0.83
60 40.18 40.63 -0.45
127℃에서 퇴적, 이후에 아르곤 플라즈마 처리(200 W, 2 min)
H2O 내의 시간(초) 시작 두께(nm) 종료 두께 (nm) Δ (nm)
10 40.05 41.33 -1.28
120 39.96 40.85 -0.89
300 39.40 41.02 -1.62
실험예 8
[78] 트렌치들을 구비하는 패터닝된 웨이퍼들 상에서 수행된 다른 음의 온도 차이 실험에서, 1,4-페닐렌다이이소시아나이트(1,4-phenylenediisocyanate, PDIC) 및 DAH는 트렌치 패턴들을 구비하는 기판 상에 폴리우레아 막을 퇴적하도록 순차적 공정 내에서 반응되었다. 상기 트렌치들은 25 내지 35 nm 개구부들을 구비하는 40 및 50 nm의 가변적인 피치들을 가졌다. 상기 제1 반응물 PDIC는 75℃에서 증발되었고, 상기 PDIC 가스 라인은 85℃에서 유지되었고, 상기 기판은 40℃에서 유지되었다. 상기 제2 반응물 DAH는 45℃에서 유지되었다. 450 sccm의 라인 흐름들이 사용되었고, 3초/2초 및 8초/7초의 펄스/퍼지 길이들이 PDIC 및 DAH를 위하여 각각 사용되었다. 결과되는 막은 터널링 전자 현미경(TEM)에 의해 분석되었다. 50 사이클들 이후에, 상기 TEM 이미지들은 상기 막이 상기 트렌치 바닥 영역들 상에서 더 두껍고, 상기 트렌치들의 상기 측벽들 상에서 더 얇음을 나타냈다(도 6a). 동일한 변수들을 사용하여 성장된 평면 웨이퍼 상의 막 두께는 7 nm였고, 일부 트렌치들의 바닥 상의 상기 막 두께는 약 10 nm였으며, 일부 트렌치들의 측면들 상의 상기 막 두께는 약 3 nm였다. 따라서 성장은 상기 트렌치들의 상기 바닥 영역들 내에서 더욱 빠르게 진행하였고, 이는 바텀-업 매립을 가리킨다. 215 사이클들 이후에, 상기 TEM 분석(도 6b)은 상기 트렌치들의 폴리우레아로의 심 없는 바텀-업 갭 매립을 나타냈다. 상기 3-차원 피쳐들의 종횡비는 감소되었고, 일부는 자기-평탄화 거동을 나타냈다.
[79] 도 7 내지 도 9는 오버헤드 가스 분배 시스템에도 불구하고 상기 반응 공간의 측부로부터 반응물 증기 피드스루들(feedthroughs)을 제공하기 위하여 구성되는 기상 퇴적 장치들의 고레벨 개략도들이다. 측부 피드스루의 사용은 통상의, 예를 들어 샤워헤드 플레넘까지 대칭으로 공급하는, 오버헤드와 비교할 때, 상기 유기 전구체 증발기로부터 상기 가스 분배 블록으로 더욱 짧은 경로를 유발한다. 더욱 짧은 반응물 경로는 앞서 설명한 바와 같이 유기막의 기상 퇴적을 위한 장치의 작동 및 유지를 위하여 유리할 수 있다. 제2 반응물 소스들, 반응물 인렛들, 인렛 매니폴드들, 배기 아웃렛들 및 조절 시스템들과 같은 상기 기상 퇴적 장치의 다른 피쳐들은 단순화를 위하여 도시되지는 않았지만, 예를 들어 도 2a를 참조로 설명된 것과 같을 수 있다.
[80] 도 7은 여기 설명된 특정한 실시예들에 따른 기상 퇴적 장치(700)의 개략적인 측부 단면도이다. 제1 반응물 베셀(705)은 앞서 설명된 것과 같은 유기막들의 ALD를 위하여 적합한 유기 반응물을 위한 증발기와 같은 가열된 반응물 소스일 수 있다. 내부 반응 챔버는 그 내부에 하나 또는 그 이상의 기판들이 지지될 수 있는 반응 공간(115)을 한정한다. 제1 반응물 베셀(705)로부터 반응 공간(715)으로 이끄는 가스 라인(730) 또한 가열된다. 개별적인 외부 진공 챔버(732)가 상기 내부 반응 챔버를 둘러싼다. 가스 라인(730)이 반응물 베셀(705) 또는 반응 공간(715) 내의 상기 기판 온도 중 어느 것보다 더 높은 온도에 있을 수 있도록; 및 반응물 베셀(705)이 반응 공간(715) 내의 상기 기판 온도보다 더 높은 온도에 있을 수 있도록 온도 프로파일은 도 3b의 온도 프로파일을 따를 수 있다.
[81] 도 8은 기상 퇴적 장치(700)를 나타내며, 여기서 도 7과 유사한 부분들이 유사한 참조 부호들에 의해 참조된다. 도 8에서, 상기 내부 반응 챔버는 두 개의 부분들, 가열된 블록(735) 및 반응 공간(715)을 포함하는 것으로서 도시된다. 반응 공간 내의 상기 기판(715)이 반응물 베셀(705)보다 더 낮은 온도에 있으며 상기 온도가 반응물 베셀(705)로부터 가스 라인(730)까지, 가열된 블록(735)까지 증가할 수 있도록 가열된 블록(735)은 가열된 가스 라인(730)보다 더 높은 온도를 가질 수 있다. 가열된 블록(735)은 반응 공간(715) 내에 수용되는 상기 기판을 가로질러 상기 반응물 증기들을 고르게 분배하도록 작용한다. 예를 들어, 가열된 블록(735)은 반응 공간(715) 내에 기판 서포트(예를 들어 서셉터(susceptor)) 상의 샤워헤드를 대표할 수 있다.
[82] 도 7 및 도 8에서, 상기 반응 챔버 내부로 공급하는 가열된 반응물 베셀(705) 및 가열된 가스 라인(730)은 모두 상기 챔버의 상기 측부 상에 위치한다. 이러한 배열은 반응기 이용을 용이하게 하고, 상기 챔버는 상기 탑으로부터 쉽게 개방될 수 있다. 반대로, 전형적인 샤워헤드 반응기들은 반응물들을 상기 챔버의 상기 탑을 통해 상기 분배 천공들(perforations)에 대하여 대칭으로 공급한다. 이러한 오버헤드 공급은 상기 반응물들을 위한 경로를 길게 하고, 또한 특히 유기막 퇴적을 위한 상기 챔버의 이용을 위한 개방을 더욱 어렵게 만들 수 있다. 또한, 가스 라인(730)의 가열, 특히 상기 반응 챔버를 통하여 공급하는 부분은 상기 측부 상에 있을 때 더 쉽고, 가열된 가스 라인(730)의 상기 피드스루 부분의 길이는 매우 작게 형성될 수 있다. 이러한 배열은 상기 라인으로부터 냉각 지점들(cold spots)을 제거하는 것을 더욱 효과적이고, 및 더욱 쉽게 만든다. 또한 더욱 우수한 전도도는 더욱 짧은 피드스루와 함께 달성될 수 있고, 따라서 더욱 큰 전구체 도즈들을 가능하게 한다.
[83] 도 7 및 도 8이 도시의 목적을 위하여 하나의 가열된 반응물 베셀 및 가열된 가스 라인만을 나타내는 한편, 당업자들은 상기 유기막 퇴적 레시피(recipe)에서의 전구체들의 개수 및 유형에 의존하여 상기 가열된 소스들 및 가열된 라인들의 개수는 하나 이상일 수 있다는 점을 이해할 것이다.
[84] 도 9는 특정한 실시예들에 따른, 예를 들어 두 개의 가열된 반응물 베셀들(705A, 705B) 및 가열된 블록(735)에 외부 진공 챔버(732)를 통해 공급하는 두 개의 가열된 가스 라인들(730A, 730B)을 구비하는 기상 퇴적 장치(700)의 개략적인 상면도이다. 가열된 블록(735)은 반응 공간(715) 상의 가스 분배 블록(예를 들어 샤워헤드)일 수 있고, 이는 기판 서포트를 포함할 수 있다. 상기 기판 서포트는 외부 진공 챔버(732) 내로의 용이한 웨이퍼 전달을 위한 승강기에 부착된 원형 서셉터 플레이트를 포함할 수 있다.
[85] 가열된 블록(735)은 반응 공간(715) 내로 수용되는 상기 기판(들)을 가로질러 반응물 소스 베셀들(705A, 705B)로부터의 전구체 가스들을 고르게 분배할 수 있다. 가열된 블록(735)은 다수의 설계들을 가질 수 있다. 일 실시예에 있어서, 모든 상기 인렛 가스 피드스루들은 동일한 공간(예를 들어 공통의 샤워헤드 플레넘)으로 인도되고, 상기 전구체는 동일한 채널로부터 흐른다(예를 들어, 반응 공간(715) 내의 상기 기판으로의 샤워헤드 천공들). 다른 실시예들에서, 반응 공간(715)이 다른 반응물들이 만나는 최초의 위치이도록 다른 전구체 가스들이 다른 채널들을 통해 상기 기판으로 인도된다. 이러한 배열은 상호 반응성 원소들 사이의 반응들이 가열된 블록(735) 내부에서 일어나는 것을 방지하고, 이에 따라 입자 형성을 방지하도록 특정한 ALD 레시피들을 위하여 선호된다. 일 예시에서, 이중 반응물 샤워헤드가 채용될 수 있고, 이는 개별적인 반응물들을 위한 개별적인 플레넘들 및 개별적인 천공들을 제공한다. 다른 예시에서 개별적으로 천공된 파이프들이 개별적인 반응물들을 위하여 제공될 수 있다. 상기 반응물들이 분리되어 잔류하거나, 또는 공통 분배 플레넘을 통과하는지는 상기 퇴적 레시피를 위한 실제의 반응물들 및 반응 온도들에 의존한다.
[86] 도 10은 도 8 및 도 9의 실시예들과 결합한 사용을 위한 개별적인 반응물들의 개별적인 분배를 갖는 가스 분배 블록(735)의 일 예시의 개략적인 상면도이다. 치수들은 개략적인 대표도 내에서 비례에 맞지 않음이 이해될 것이다. 도 10에서, 가열된 가스 라인들(730A, 730B)은 기판(706) 위의 천공들을 구비하는 가열된 반응물 분배 튜브들(730A', 730B') 내부로 연장되고, 이는 상기 튜브들 아래의 상기 반응 공간 내에서 지지된다. 분배 튜브들(730A', 730B')은 개별적으로 조절 가능한 밸브들(717A, 717B)의 방식으로 배기부(716)까지 인도된다. 밸브들(717A, 717B)은 반응물 상들 사이에서 가열된 분배 튜브들(730A', 730B')로부터의 전구체 흐름 및 퍼지를 조절할 수 있다.
[87] 도 11은 도 10의 가스 분배 블록(735)을 채용한 기상 퇴적 장치의 개략적인 측부 단면도이다. 가열된 반응물 베셀들(750A, 750B)은 가열된 가스 라인들(730A, 730B)로 공급하고, 이는 다시 가열된 분배 튜브들(730A', 730B') 내부로 연장된다. 동작 중의 반응물 흐름 및 퍼지를 조절하기 위하여 밸브들(717A, 717B)은 가열된 분배 튜브들(730A', 730B')로부터 배기부(716)까지의 흐름을 조절한다. 분배 튜브들(730A', 730B')은 상기 내부 반응 챔버를 위하여 커버 블록(750) 내부로 연장된다. 외부 진공 챔버(732) 및 상기 내부 반응 챔버는 이들 사이의 진공 공간(752)을 한정한다. 기판(706)은 기판 서포트(708) 상에 지지되는 것으로 도시되고, 배기부(714)는 기판(706)이 지지되는 위치 주위에 제공된다. 가스 분배 튜브들(730A', 730B')을 위한 배기부(716) 및 반응 공간(715)을 위한 배기부(714)는 동일하거나 다른 진공 소스들에 연결될 수 있다. 커버 블록(750) 및 기판 서포트(708) 사이의 스페이서(754)는 반응 공간(715)을 밀봉하는 데 도움을 준다.
[88] 도 10 및 도 11은 가열된 가스 분배 블록(735)의 내부 부분들을 위한 하나의 가능한 설계를 나타낸다. 두 개의 미로 튜브들(labyrinthine)(730A', 730B')이 기판(706) 상부에 지그재그로 도시된다. 상기 제1 반응물이 제1 분배 튜브(730A') 내의 상기 홀들로부터 기판(706)을 가로질러 펼쳐지고, 제2 반응물이 제2 분배 튜브(730B')의 상기 홀들로부터 분배된다. 튜브들(730A', 730B') 모두는 펌프 배기부(716)로 인도된다. 제1 반응물의 상기 기판까지의 공급 동안에, 비휘발성 캐리어 가스가 제1 분배 튜브(730A')까지의 반응물 흐름을 용이하게 하도록 사용될 수 있다. 반응 공간(715) 내의 압력은 분배 튜브(730A') 내에서보다 더 낮게 유지될 수 있고, 따라서 전구체는 상기 튜브(730A')로부터 기판(706)의 표면까지 흐른다. ALD 시퀀스에서, 반응물 펄스들 사이의 퍼지 동안에, 반응물 흐름이 정지되고, 캐리어 가스만이 상기 제1 튜브(730A') 내에 흐른다. 상기 튜브(730A')는 또한 배기부(716)까지 인도되기 때문에 효율적으로 퍼지될 수 있다. 밸브들(717A, 717B)은 반응 공간(715)으로의 상기 반응물의 흐름을 촉진하도록 반응물 제공 동안에 닫힐 수 있고, 퍼지 동안에 다시 개방될 수 있다. 이러한 유형의 샤워헤드-같은 가스 분배 시스템은 샤워헤드의 이점들을 가지나, 이들이 입자 형성을 감소시키도록 더욱 효과적으로 퍼지될 수 있다. 상기 튜브들 내의 상기 홀 사이즈들은 통상의 실험으로 최적화될 수 있다. 상기 튜브들(730A', 730B')은 외부 진공 챔버(732)로의 반응물 탈출을 최소화하도록 커버 블록(750) 내로 연장되며, 이는 더욱 큰 전구체 소모 효율을 유발한다.
[89] 앞서 설명한 것과 같이, 온도 구배는 반응물 베셀들(705A, 705B)로부터 이들의 개별적인 가스 라인들(730A, 730B)까지 증가할 수 있고, 분배 블록(735)의 튜브들(730A', 730B')까지 계속 증가할 수 있다. 기판 서포트(708) 및 그 상에 지지되는 기판(706)은 반응물 베셀들(705A, 705B)보다 더 낮은 온도에 있을 수 있고, 따라서 또한 가열된 가스 라인들(730A, 730B) 및 분배 블록(735)보다 더 낮은 온도에 있을 수 있다. 다시 말하면, 상기 조절 시스템들은 증발 온도 A, 기판 온도 B, 가스 라인 C 및 가스 분배 블록 온도 D를 B<A<C<D이도록 조절할 수 있다.
[90] 도 11의 퇴적 장치(700)에서, 반응 공간(715)은 그 자체의 배기부(714)를 갖는다. 도시된 실시예에서, 배기부(714)는 상기 기판(예를 들어 웨이퍼)를 고르게 둘러싸며, 가스들이 상기 기판 주위 전체로부터 펌프된다.
[91] 도 10 및 도 11은 가스 분배 블록을 위한 일 예시를 나타낸다. 다른 실시예들에서, 튜브들(730A', 730B')은 나선들과 같은 다른 형상들로 형성될 수 있다. 바람직하게는, 상기 흐름 경로들은 가스가 유체적으로 흐르고 최소의 난류(turbulence)를 갖도록 날카로운 접힘들 또는 코너들을 갖지 않는다.
[92] 도 12는 개별적인 반응물들을 위한 공통 분배 경로들을 구비하는 기상 퇴적 장치의 개략적인 측부 단면도이며, 여기서 도 11과 유사한 부분들은 유사한 참조부호들에 의해 참조된다. 도 12의 실시예는 도 11의 상기 튜브들을 대신하여 통상의 샤워헤드(760)가 가열된 분배 블록(735)으로 작용하는 점에서 도 11과 다르다. 상기 온도들은 제1 반응물 베셀(705A)로부터 대응되는 가열된 가스 라인(730A)까지, 대응되는 피드스루 라인(730A')까지, 샤워헤드(760)까지 증가할 수 있다. 유사하게, 상기 온도는 제2 반응물 베셀(705B)로부터 대응되는 가열된 가스 라인(730B)까지, 대응되는 피드스루 라인(730B')까지, 샤워헤드(760)까지 증가할 수 있다. 샤워헤드(760) 아래의 반응 공간(715) 내에서 기판(706)은 반응물 베셀들(705A, 705B) 및 상기 흐름 경로들을 따라 개재되는 피쳐들보다 더 낮은 온도일 수 있다. 다시 말하면, 상기 조절 시스템들은 각각의 반응물을 위하여 증발 온도 A, 기판 온도 B, 가스 라인 C, 가스 피드스루 온도 D 및 가스 분배 블록 온도 E를 B<A<C<D<E이도록 조절할 수 있다. 도 11의 밸브들(717A, 717B)과 유사하게, 밸브(717C)는 반응물 펄스들 사이에 샤워헤드(760)의 반응물 흐름 및 퍼지를 조절할 수 있다.
[93] 다른 실시예들에서, 상기 분배 블록은 미국 특허 공개공보 제US2004216665호, 제US20030075273호 및 제US2004216668호의 가스 분배 시스템들과 유사할 수 있고, 이들의 전체 개시들이 모든 목적들을 위하여 여기에 참조문헌으로서 병합된다. 이러한 실시예들에서, 도 7 내지 도 12의 실시예들 뿐만 아니라, 수평형 또는 크로스-흐름 반응 챔버들과 비교할 때 상기 기판을 가로질러 더욱 고른 반응물들의 분배를 위하여 가스들은 오버헤드로부터 분배될 수 있다.
[94] 그러나, 전통적인 샤워헤드 또는 이중 샤워헤드 가스 분배 시스템들과 달리, 상기 측부 피드스루들은 상기 분배 블록까지 더욱 짧고 덜 복잡한 흐름 경로들을 나타낸다. 전통적인 샤워헤드 시스템들은 여기 설명된 것과 같이 유기막 퇴적을 위한 상기 유기 전구체들과 같은 낮은 증기압 전구체들을 위하여 일반적으로 우수하지 않다. 이들은 많은 결합부들(joints)을 구비하는 상기 샤워헤드의 상기 탑에 연결되는 긴 전구체 파이프들을 갖는 경향이 있고, 밸브들은 효율적인 온도 조절을 감소시키는 경향이 있고, 따라서 냉각 지점들에 기인하여 입자 생성을 유발할 수 있다. 도시된 측부 피드스루들은 퇴적 운행들 사이의 유지 및 세정을 위한 접근을 용이하게 하는 것에 더하여, 적절하게 위치된 히터들 및 온도 센서들로 더욱 용이하게 균일하게 가열된다.
[95] 더욱이, 상기 퇴적 장치는 인 시추 세정 시스템들이 제공될 수 있다. 무기막들과 달리, 여기 설명되는 퇴적 반응기들의 상기 가스 분배 경로들을 따라 형성될 수 있는 유기막들 및 전구체 잔류물은 산화 반응들에 의해 상대적으로 용이하게 세정될 수 있다. 따라서, 인 시추 세정은 상기 가스 라인들까지 산소-함유 증기를 제공함에 의해, 또는 가스 분배 블록(735)에 대한 개별적인 공급에 의해 직접 달성될 수 있다. 예를 들어, O2는 상기 가스 분배 블록(735) 또는 상기 가열된 가스 라인들 또는 가열된 가스 피드스루들까지의 상류로 제공될 수 있다. 더욱 바람직하게는 O3 가스 또는 O 플라즈마 생성물들과 같은 활성화된 산화제들(oxidants)이 퇴적들 또는 퇴적 운행들 사이에 주기적으로 인 시추 세정 사이클들을 위하여 공급된다.
[96] 특정한 실시예들 및 실험예들이 논의되었지만, 당업자는 청구항들의 범위가 특별히 개시된 실시예들 이상으로, 다른 대안의 실시예들 및/또는 용법들, 및 명백한 개조들 및 이들의 등가물들까지 확장된다는 것이 이해될 것이다.

Claims (53)

  1. 반응물 증기를 형성하도록 유기 반응물을 증발시키기 위하여 구성된 베셀(vessel);
    기판을 수용하고 상기 베셀과 선택적으로 유체 연통되도록(in fluid communication with) 구성되는 반응 공간; 및
    조절 시스템으로서, 온도 A 이상에서 상기 베셀 내에 상기 반응물을 유지하고;
    상기 온도 A보다 낮은 온도 B에서 상기 기판을 유지하고;
    상기 베셀로부터 상기 기판에 상기 반응물 증기를 이송하고; 및
    상기 기판 상에 유기막을 퇴적하도록 구성되는, 상기 조절 시스템을 포함하는 유기막 퇴적 장치.
  2. 청구항 1에 있어서,
    상기 유기막은 폴리머를 포함하는 것을 특징으로 하는 유기막 퇴적 장치.
  3. 청구항 1에 있어서,
    상기 베셀은 실온 및 대기압에서 고체를 함유하는 것을 특징으로 하는 유기막 퇴적 장치.
  4. 청구항 1에 있어서,
    상기 베셀은 디안하이드라이드(dianhydride)를 함유하는 것을 특징으로 하는 유기막 퇴적 장치.
  5. 청구항 1에 있어서,
    상기 베셀은 파이로멜리틱 디안하이드라이드(pyromellitic dianhydride, PMDA)를 함유하는 것을 특징으로 하는 유기막 퇴적 장치.
  6. 청구항 1에 있어서,
    상기 조절 시스템은 온도 B에 대한 온도 A의 비율을 캘빈(Kelvin) 단위로 약 1 내지 약 1.25로 유지하도록 더 구성되는 것을 특징으로 하는 유기막 퇴적 장치.
  7. 청구항 1에 있어서,
    상기 조절 시스템은 상기 온도 A보다 약 5℃ 내지 약 50℃ 더 낮도록 상기 온도 B를 유지하도록 더 구성되는 것을 특징으로 하는 유기막 퇴적 장치.
  8. 청구항 1에 있어서,
    상기 반응 공간에 상기 베셀을 유체 연결하는 가스 라인을 더 포함하며,
    상기 조절 시스템은 상기 온도 A보다 더 높은 온도 C에서 상기 가스 라인을 유지하도록 더 구성되는 것을 특징으로 하는 유기막 퇴적 장치.
  9. 청구항 1에 있어서,
    상기 조절 시스템은 순차적 퇴적 공정에서 상기 반응물 증기와 교대로 상기 기판에 제2 반응물 증기를 이송하도록 더 구성되는 것을 특징으로 하는 유기막 퇴적 장치.
  10. 청구항 1에 있어서,
    상기 반응 공간에 연결되는 아웃렛 라인 및 비활성 가스 소스를 더 포함하고,
    상기 조절 시스템은 상기 반응물 증기 및 상기 제2 반응물 증기의 공급 사이에 여분의 반응물 증기들 및 부산물을 제거하도록 더 구성되는 것을 특징으로 하는 유기막 퇴적 장치.
  11. 유기막의 기상 퇴적 방법으로서,
    제1 반응물 증기를 형성하도록 온도 A에서 증발기(vaporizer) 내에서 제1 유기 반응물을 증발시키는 단계;
    상기 온도 A보다 낮은 온도 B에서 반응 공간 내에서 기판을 상기 제1 반응물 증기에 노출하는 단계; 및
    상기 기판 상에 유기막을 퇴적하는 단계를 포함하는 방법.
  12. 청구항 11에 있어서,
    상기 유기막은 폴리머를 포함하는 것을 특징으로 하는 방법.
  13. 청구항 12에 있어서,
    상기 기판 상에 상기 유기막을 퇴적하는 단계는 순차적 증기 퇴적을 포함하는 것을 특징으로 하는 방법.
  14. 청구항 13에 있어서,
    상기 기판 상에 상기 유기막을 퇴적하는 단계는 원자층 퇴적 공정을 포함하는 것을 특징으로 하는 방법.
  15. 청구항 12에 있어서,
    상기 폴리머는 폴리이미드(polyimide)를 포함하는 것을 특징으로 하는 방법.
  16. 청구항 12에 있어서,
    상기 폴리머는 폴리우레아(polyurea)를 포함하는 것을 특징으로 하는 방법.
  17. 청구항 11에 있어서,
    상기 유기막은 폴리아믹산(polyamic acid)를 포함하는 것을 특징으로 하는 방법.
  18. 청구항 17에 있어서,
    상기 폴리아믹산을 폴리이미드로 변환시키는 단계를 더 포함하는 방법.
  19. 청구항 11에 있어서,
    상기 제1 반응물은 실온 및 대기압에서 고체인 것을 특징으로 하는 방법.
  20. 청구항 11에 있어서,
    상기 제1 반응물은 디안하이드라이드인 것을 특징으로 하는 방법.
  21. 청구항 11에 있어서,
    상기 제1 반응물은 파이로멜리틱 디안하이드라이드(PMDA)를 포함하는 것을 특징으로 하는 방법.
  22. 청구항 11에 있어서,
    온도 B에 대한 온도 A의 비율은 캘빈 단위로 약 1 내지 약 1.15인 것을 특징으로 하는 방법.
  23. 청구항 11에 있어서,
    상기 온도 B는 상기 온도 A보다 약 5℃ 내지 약 50℃ 더 낮은 것을 특징으로 하는 방법.
  24. 청구항 11에 있어서,
    상기 기판은 비평면 지형(non-planar topography)을 포함하며,
    상기 유기막을 퇴적하는 단계는 상기 기판의 하부 피쳐 상에 제1 두께를 형성하는 단계 및 상기 기판의 상부 필드 영역 상에 제2 두께를 퇴적하는 단계를 포함하고, 상기 제1 두께는 상기 제2 두께보다 더 큰 것을 특징으로 하는 방법.
  25. 청구항 11에 있어서,
    상기 기판을 노출하는 단계는 상기 기판 상에 상기 제1 반응물의 종을 자기-제한적으로 흡착시키는 단계를 포함하고,
    상기 방법은,
    여분의 상기 제1 반응물 증기를 상기 기판과의 접촉으로부터 제거하는 단계;
    상기 제1 반응물 증기 및 상기 제2 반응물이 실질적으로 혼합되지 않도록 상기 기판을 제2 반응물에 노출하는 단계; 및
    여분의 상기 제2 반응물을 상기 기판과의 접촉으로부터 제거하는 단계;를 더 포함하는 것을 특징으로 하는 방법.
  26. 청구항 25에 있어서,
    상기 제2 반응물은 다이아민(diamine)인 것을 특징으로 하는 방법.
  27. 청구항 25에 있어서,
    상기 여분의 상기 제1 반응물 증기를 제거하는 단계 및 상기 여분의 상기 제2 반응물 증기를 제거하는 단계 각각은 약 1초 내지 약 10초의 기간에 걸쳐 발생하는 것을 특징으로 하는 방법.
  28. 청구항 25에 있어서,
    상기 제1 반응물 증기 및 상기 제2 반응물이 실질적으로 혼합되지 않도록 상기 기판을 상기 제1 반응물 증기에 노출하는 단계 및 상기 기판을 상기 제2 반응물에 노출하는 단계를 복수의 사이클들로 반복하는 단계를 더 포함하는 방법.
  29. 청구항 25에 있어서,
    상기 기판은 비-평면 지형을 포함하며,
    상기 유기막을 퇴적하는 단계는 상기 기판의 하부 피쳐 상에 제1 두께를 형성하는 단계 및 상기 기판의 상부 필드 영역 상에 제2 두께를 퇴적하는 단계를 포함하고, 상기 제1 두께는 상기 제2 두께보다 더 큰 것을 특징으로 하는 방법.
  30. 청구항 11에 있어서,
    상기 기판을 상기 제1 반응물 증기에 노출하는 단계는 상기 증발기로부터 상기 반응 공간으로 가스 라인을 통해 상기 제1 반응물 증기를 이송하는 단계를 포함하고,
    상기 가스 라인은 상기 온도 A보다 높은 온도 C에 있는 것을 특징으로 하는 방법.
  31. 청구항 11에 있어서,
    여분의 상기 제1 반응물 증기를 상기 기판과의 접촉으로부터 일 기간에 걸쳐 제거하는 단계를 더 포함하고,
    상기 일 기간을 감소시키는 단계는 상기 퇴적된 유기막의 평면성(planarity)을 증가시키는 것을 특징으로 하는 방법.
  32. 청구항 31에 있어서,
    상기 제1 반응물 증기와 상기 제2 반응물이 실질적으로 혼합되지 않도록 상기 기판을 제2 반응물에 노출하는 단계;
    여분의 상기 제2 반응물을 상기 기판과의 접촉으로부터 제거하는 단계; 및
    상기 제1 반응물 증기 및 상기 제2 반응물이 실질적으로 혼합되지 않도록 상기 기판을 상기 제1 반응물 증기에 노출하는 단계 및 상기 기판을 상기 제2 반응물에 노출하는 단계를 복수의 사이클들로 반복하는 단계;를 더 포함하는 방법.
  33. 기판 상의 3차원 구조물들의 종횡비를 감소시키는 방법으로서,
    제1 반응물 증기를 형성하도록 제1 반응물을 증발시키는 단계;
    반응 공간 내에서 3차원 구조물을 갖는 지형을 포함하는 기판을 상기 제1 반응물 증기에 노출하는 단계; 및
    유기막이 퇴적됨에 따라 상기 기판 상에서 상기 3-차원 구조물의 종횡비를 감소시키도록, 더 높은 지형의 피쳐들에 비교할 때 더 낮은 지형의 피쳐들 상에 우선적으로 상기 기판 상에 상기 유기막을 퇴적하는 단계를 포함하고,
    상기 퇴적하는 단계는 상기 기판을 상기 제1 반응물 증기에 노출하는 단계를 포함하는 것을 특징으로 하는 방법.
  34. 청구항 33에 있어서,
    상기 증발시키는 단계는 온도 A에서 수행되고, 상기 기판은 퇴적하는 단계 동안에 온도 B에 있으며, 온도 B에 대한 온도 A의 비율은 캘빈 단위로 약 1 내지 약 1.15인 것을 특징으로 하는 방법.
  35. 청구항 34에 있어서,
    상기 온도 B는 상기 온도 A보다 약 5℃ 내지 약 50℃ 더 낮은 것을 특징으로 하는 방법.
  36. 청구항 33에 있어서,
    상기 퇴적하는 단계는,
    상기 기판 상에서 상기 제1 반응물 증기의 종과 반응시키도록 상기 기판을 제2 반응물 증기에 노출하는 단계; 및
    상기 기판을 상기 제1 반응물 증기에 노출하는 단계와 상기 기판을 상기 제2 반응물 증기에 노출하는 단계를 교대로, 및 순차적으로 반복하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  37. 청구항 33에 있어서,
    증발 온도 A 및 기판 온도 B를 B<A이도록 조정하는 단계를 더 포함하는 방법.
  38. 청구항 37에 있어서,
    상기 가스 라인 및/또는 반응 공간을 산소-함유 반응물로 인 시츄 세정하는 단계를 더 포함하는 하는 방법.
  39. 청구항 37에 있어서,
    상기 유기막을 퇴적하는 단계는 폴리아믹산 막을 퇴적하는 단계를 포함하고,
    상기 폴리아믹산 막을 폴리이미드 막으로 변환하는 단계를 더 포함하는 방법.
  40. 청구항 37에 있어서,
    상기 유기막을 퇴적하는 단계는 폴리머 막을 퇴적하는 단계를 포함하는 것을 특징으로 하는 방법.
  41. 청구항 33에 있어서,
    상기 제1 반응물은 유기 반응물이고,
    상기 노출하는 단계는 상기 제1 반응물 증기를 상기 반응 공간을 한정하는 반응기의 일 측부를 통해 연장되는 가열된 가스 라인을 통해, 상기 반응 공간 내의 상기 기판 상에 가로놓이는(overlying) 가스 분배 블록으로 공급하는(feeding) 단계를 포함하는 것을 특징으로 하는 방법.
  42. 청구항 41에 있어서,
    증발 온도 A, 기판 온도 B, 가스 라인 온도 C 및 가스 분배 블록 온도 D를 B<A<C<D로 조절하는 단계를 더 포함하는 방법.
  43. 청구항 42에 있어서,
    상기 가스 분배 블록은 상기 반응 공간에 도달하기까지 상기 제1 반응물 증기 및 제2 반응물 증기를 위한 별개의 흐름 경로들(flow paths)을 유지하는 것을 특징으로 하는 방법.
  44. 청구항 42에 있어서,
    상기 가스 분배 블록은 상기 제1 반응물 증기 및 제2 반응물 증기가 공급되는 공통 플레넘(common plenum)을 포함하는 것을 특징으로 하는 방법.
  45. 청구항 41에 있어서,
    상기 가스 분배 블록은 배기부(exhaust)에 대한 아웃렛 및 퍼지 단계를 위한 상기 가스 분배 블록으로부터 배기를 조절하기 위한 밸브를 포함하는 것을 특징으로 하는 방법.
  46. 유기막의 형성 방법으로서,
    제1 반응물 증기를 형성하도록 증발기 내에서 제1 반응물을 증발시키는 단계;
    반응 공간 내에서 기판을 상기 제1 반응물 증기 및 제2 반응물 증기에 노출하는 단계; 및
    상기 기판 상에 상기 제1 반응물 증기 및 상기 제2 반응물 증기로부터 폴리아믹산 막을 퇴적하는 단계;를 포함하는 방법.
  47. 청구항 46에 있어서,
    상기 폴리아믹산 막을 폴리이미드로 변환하는 단계를 더 포함하는 방법.
  48. 청구항 46에 있어서,
    상기 기판을 상기 제1 반응물 증기 및 상기 제2 반응물 증기에 노출하는 단계는 약 100℃ 내지 약 150℃의 온도에서 상기 기판을 유지하는 단계를 포함하는 것을 특징으로 하는 방법.
  49. 청구항 46에 있어서,
    상기 제1 반응물은 디안하이드라이드인 것을 특징으로 하는 방법.
  50. 청구항 49에 있어서,
    상기 디안하이드라이드는 파이로멜리틱 디안하이드라이드(PMDA)를 포함하는 것을 특징으로 하는 방법.
  51. 청구항 46에 있어서,
    상기 기판을 상기 제1 반응물 증기 및 상기 제2 반응물 증기에 노출하는 단계는 상기 기판을 상기 제1 반응물 증기 및 상기 제2 반응물 증기에 교대로 및 순차적으로 노출하는 단계를 포함하는 것을 특징으로 하는 방법.
  52. 청구항 51에 있어서,
    상기 제2 반응물은 다이아민을 포함하는 것을 특징으로 하는 방법.
  53. 청구항 52에 있어서,
    상기 다이아민은 1,6-다이아미노헥세인(1,6-diaminohexane, DAH)인 것을 특징으로 하는 방법.
KR1020160129197A 2015-10-09 2016-10-06 유기막들의 기상 퇴적 KR102546221B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230077477A KR102664066B1 (ko) 2015-10-09 2023-06-16 유기막들의 기상 퇴적

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/879,962 US10695794B2 (en) 2015-10-09 2015-10-09 Vapor phase deposition of organic films
US14/879,962 2015-10-09
US15/070,594 2016-03-15
US15/070,594 US10343186B2 (en) 2015-10-09 2016-03-15 Vapor phase deposition of organic films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230077477A Division KR102664066B1 (ko) 2015-10-09 2023-06-16 유기막들의 기상 퇴적

Publications (2)

Publication Number Publication Date
KR20170044026A true KR20170044026A (ko) 2017-04-24
KR102546221B1 KR102546221B1 (ko) 2023-06-21

Family

ID=58499280

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020160129197A KR102546221B1 (ko) 2015-10-09 2016-10-06 유기막들의 기상 퇴적
KR1020230077477A KR102664066B1 (ko) 2015-10-09 2023-06-16 유기막들의 기상 퇴적
KR1020240058272A KR20240068605A (ko) 2015-10-09 2024-05-02 유기막들의 기상 퇴적

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020230077477A KR102664066B1 (ko) 2015-10-09 2023-06-16 유기막들의 기상 퇴적
KR1020240058272A KR20240068605A (ko) 2015-10-09 2024-05-02 유기막들의 기상 퇴적

Country Status (4)

Country Link
US (1) US10343186B2 (ko)
JP (4) JP7007795B2 (ko)
KR (3) KR102546221B1 (ko)
TW (3) TWI707978B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190143808A (ko) * 2018-06-21 2019-12-31 도쿄엘렉트론가부시키가이샤 제어 장치, 성막 장치, 제어 방법, 성막 방법 및 기록 매체

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) * 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US10256144B2 (en) 2017-04-26 2019-04-09 Applied Materials, Inc. Process integration approach of selective tungsten via fill
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR102684628B1 (ko) 2017-05-16 2024-07-15 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7077108B2 (ja) * 2018-04-05 2022-05-30 東京エレクトロン株式会社 被加工物の処理方法
JP7043950B2 (ja) * 2018-04-11 2022-03-30 東京エレクトロン株式会社 成膜装置、及び成膜方法
JP7093667B2 (ja) * 2018-04-11 2022-06-30 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6981356B2 (ja) 2018-04-24 2021-12-15 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2019207965A (ja) * 2018-05-30 2019-12-05 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
JP7236953B2 (ja) * 2019-08-05 2023-03-10 東京エレクトロン株式会社 成膜装置および成膜方法
JP7323409B2 (ja) * 2019-10-01 2023-08-08 東京エレクトロン株式会社 基板処理方法、及び、プラズマ処理装置
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202217966A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 自基板選擇性地移除含碳材料或有機材料之方法、選擇性有機材料移除之方法、經組態用於選擇性地移除有機材料之系統

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009231783A (ja) * 2008-03-25 2009-10-08 Tokyo Electron Ltd 成膜装置、及び成膜方法
KR20150009961A (ko) * 2012-04-27 2015-01-27 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2015099881A (ja) * 2013-11-20 2015-05-28 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) * 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JP3373320B2 (ja) * 1995-02-10 2003-02-04 株式会社アルバック 銅配線製造方法
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) * 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
CN103147062A (zh) 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009297A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
RU2012107435A (ru) 2009-07-31 2013-09-10 Акцо Нобель Кемикалз Интернэшнл Б.В. Способ получения подложки с покрытием, подложка с покрытием и ее применение
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
JP2011222779A (ja) * 2010-04-09 2011-11-04 Dainippon Printing Co Ltd 薄膜素子用基板の製造方法、薄膜素子の製造方法および薄膜トランジスタの製造方法
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5562434B2 (ja) 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) * 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
DE102011051260A1 (de) 2011-06-22 2012-12-27 Aixtron Se Verfahren und Vorrichtung zum Abscheiden von OLEDs
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP5966618B2 (ja) * 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) * 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) * 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
JP5605464B2 (ja) * 2013-06-25 2014-10-15 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
DE112013007031B4 (de) 2013-06-28 2022-02-24 Intel Corporation Auf selektiv epitaktisch gezüchteten III-V-Materialien basierende Vorrichtungen
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CN105556644B (zh) 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
EP2918701A1 (en) 2014-03-14 2015-09-16 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method of manufacturing a stacked organic light emitting diode, stacked OLED device, and apparatus for manufacturing thereof
CN106164332B (zh) 2014-03-27 2019-03-19 英特尔公司 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
EP3123497A4 (en) 2014-03-28 2017-11-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009231783A (ja) * 2008-03-25 2009-10-08 Tokyo Electron Ltd 成膜装置、及び成膜方法
KR20150009961A (ko) * 2012-04-27 2015-01-27 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2015099881A (ja) * 2013-11-20 2015-05-28 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190143808A (ko) * 2018-06-21 2019-12-31 도쿄엘렉트론가부시키가이샤 제어 장치, 성막 장치, 제어 방법, 성막 방법 및 기록 매체

Also Published As

Publication number Publication date
JP2017076784A (ja) 2017-04-20
KR20230095896A (ko) 2023-06-29
KR102664066B1 (ko) 2024-05-08
JP7007795B2 (ja) 2022-01-25
TWI827860B (zh) 2024-01-01
US20170100743A1 (en) 2017-04-13
JP7383192B2 (ja) 2023-11-17
JP2024001340A (ja) 2024-01-09
KR20240068605A (ko) 2024-05-17
JP2022009666A (ja) 2022-01-14
US10343186B2 (en) 2019-07-09
JP7299289B2 (ja) 2023-06-27
TW202146695A (zh) 2021-12-16
TW202041704A (zh) 2020-11-16
JP2023120310A (ja) 2023-08-29
KR102546221B1 (ko) 2023-06-21
TW201732069A (zh) 2017-09-16
TWI707978B (zh) 2020-10-21

Similar Documents

Publication Publication Date Title
KR102664066B1 (ko) 유기막들의 기상 퇴적
US11389824B2 (en) Vapor phase deposition of organic films
US11654454B2 (en) Vapor phase deposition of organic films
KR102493002B1 (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
US7828900B2 (en) Vacuum film-forming apparatus
TW201632650A (zh) 無氨無氯保形氮化矽膜的沉積方法
JP2009054988A (ja) シリコン及びチタン窒化物のインサイチュ蒸着
KR20020096860A (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리장치
JP7304435B2 (ja) 基板上に膜を形成するための方法およびシステム
TWI773910B (zh) 具有氣體分佈及個別泵送的批次固化腔室
JP2012193438A (ja) 成膜方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right